Реферат: Проектирование системы измерения электрических параметров каналов звуковой частоты - Refy.ru - Сайт рефератов, докладов, сочинений, дипломных и курсовых работ

Проектирование системы измерения электрических параметров каналов звуковой частоты

Рефераты по коммуникации и связи » Проектирование системы измерения электрических параметров каналов звуковой частоты

Реферат


Пояснительная записка ___ страниц., 23 рисунков, 37 таблиц, 23 источника, приложение ___ листов.

Ключевые слова: ЦИФРОВЫЕ ГЕНЕРАТОРЫ ЗВУКОВЫХ ЧАСТОТ, ЦИФРО-АНАЛОГОВОЕ ПРЕОБРАЗОВАНИЕ, АНАЛОГО-ЦИФРОВОЕ ПРЕОБРАЗОВАНИЕ, ЦИФРОВАЯ ОБРАБОТКА СИГНАЛОВ, ЦИФРОВОЙ ПРОЦЕССОР ОБРАБОТКИ СИГНАЛОВ, ИНТЕРФЕЙС RS232.

Целью данной работы является проектирование системы измерения электрических параметров каналов звуковой частоты. Система позволяет осуществлять: генерацию одно- или многочастотного сигнала в диапазоне частот 20Гц – 20кГц с шагом изменения частоты 1Гц и амплитудой до 2В, измерение уровня сигнала частотой 1020Гц в диапазоне уровней от –30дБ до +16дБ с погрешностью 0,1дБ (уровень отсчитывается относительно 0,775В), измерение частоты монотонального сигнала в диапазоне от 20Гц до 20кГц с погрешностью 1Гц.


СОДЕРЖАНИЕ


Перечень сокращений

Введение

1 РАСЧЕТНО-ТЕОРЕТИЧЕСКИЙ РАЗДЕЛ

1.1 Принципы построения цифровых генераторов звуковых частот

1.2 Разработка структурной схемы измерителя

1.3 Разработка электрической принципиальной схемы процессорного блока

1.3.1 Разработка состава схемы процессорного блока

1.3.2 Выбор микропроцессора

1.3.3 Выбор ПЗУ и ОЗУ

1.3.4 Выбор дешифратора, счетчика и инвертора

1.3.5 Выбор генератора тактовой частоты

1.3.6 Выбор микросхемы сброса

1.3.7 Выбор микросхемы УСАПП

1.3.8 Выбор преобразователя уровней

1.3.9 Описание электрической принципиальной схемы процессорного блока

1.4 Разработка электрической принципиальной схемы блока формирования и управления

1.4.1 Разработка состава блока формирования и управления

1.4.2 Выбор ЦАП

1.4.3 Выбор АЦП

1.4.4 Выбор аттенюирующего ЦАП

1.4.5 Выбор регистра

1.4.6 Выбор операционного усилителя

1.4.7 Выбор реле

1.4.8 Расчет параметров элементов ФНЧ, предназначенного для сглаживания внеполосного шума ЦАП

1.4.9 Описание электрической принципиальной схемы блока формирования и управления

1.5 Разработка алгоритма работы измерителя

1.6 Расчет мощности потребляемой устройством

1.7 Расчет надежности измерителя

2 КОНСТРУКТОРСКО-ТЕХНОЛОГИЧЕСКИЙ РАЗДЕЛ

2.1 Патентный поиск

2.2 Разработка технического задания

2.3 Разработка конструкции блока

2.4 Выбор и обоснование технологии печатной платы, класса точности, габаритных размеров, материала, толщины и шага координатной сетки

2.5 Размещение и трассировка печатной платы

2.6 Расчет проводящего рисунка

2.7 Расчет проводников по постоянному току

2.8 Расчет проводников по переменному току

2.9 Расчет теплового режима

2.10 Расчет защиты от механических воздействий

2.11 Расчет на действие удара

2.12 Оценка уровня качества

2.12.1 Оценка уровня технологичности конструкции блока

2.12.2 Расчет уровня качества

2.13 Разработка технологического процесса сборки блока

3 ТЕХНИКО-ЭКОНОМИЧЕСКИЙ РАЗДЕЛ

3.1 Выбор и обоснование базового варианта

3.2 Расчет себестоимости блока измерителя

3.3 Расчет годовых эксплуатационных затрат

3.4 Расчет годового экономического эффекта

4 РАЗДЕЛ ОХРАНЫ ТРУДА И ОКРУЖАЮЩЕЙ СРЕДЫ

4.1 Анализ и нормирование опасных и вредных производственных факторов

4.2 Разработка рекомендаций, мероприятий, устройств и систем безопасности жизнедеятельности

4.2.1 Мероприятия по обеспечению безопасности и безвредности объекта проектирования

4.2.2 Организация рабочего места

4.2.3 Расчет защитного заземления

4.3 Обеспечение экологической безопасности

4.3.1 Защита атмосферы

4.3.2 Защита гидросферы

4.4 Пожарная безопасность

4.4.1 Пожароопасность на предприятии

4.4.2 Мероприятия при пожарной профилактике, средства защиты и тушения пожаров

4.4.3 Выбор первичных средств пожаротушения

4.4.4 Расчет противопожарного водоснабжения

4.5 Мероприятия по обеспечению продолжения производства в чрезвычайных ситуациях

5 Заключение

Список литературы

Приложение


Перечень сокращений


ЦПОС – цифровой процессор обработки сигналов

АЦП – аналого-цифровой преобразователь

ЦАП – цифро-аналоговый преобразователь

ФНЧ – фильтр низких частот

ИНИ – измеритель нелинейных искажений

ИМС – интегральная микросхема

ПЭВМ – персональная электронно-вычислительная машина

ПЗУ – постоянное запоминающее устройство

ОЗУ – оперативное запоминающее устройство

ТТЛ – транзисторно-транзисторная логика

УСАПП – универсальный синхронно-асинхронный приемопередатчик

ЭВМ – электронно-вычислительная машина

КМОП – комплиментарный металл окисел полупроводник

ЦОС – цифровая обработка сигналов

БПФ – быстрое преобразование Фурье

OSI – Open System Interconnect (эталонная модель взаимосвязи открытых систем)

ТТЛШ – транзисторно-транзисторная логика с диодами Шоттки

ЗУ – запоминающее устройство


ВВЕДЕНИЕ


К началу двадцать первого века во всем мире построено и эксплуатируется огромное количество проводных линий связи, обеспечивающих передачу сигналов звуковой частоты. Особенно много линий связи используется в развитых странах (в США и Западной Европе), так как там в последнее время очень бурно развиваются информационные технологии, которые позволяют повысить удобство, обеспечить автоматизацию различных сфер деятельности современного человека. В настоящее время для высокоскоростной связи применяются оптоволоконные кабели, но для их введения в эксплуатацию требуются большие финансовые вложения. В тоже время исторически первыми линиями связи были проводные линии связи звуковой частоты, и к настоящему моменту их проложено и эксплуатируется достаточно много. Целесообразно полностью использовать их возможности.

Для качественной и надежной передачи по таким линиям связи сигналов звуковой частоты необходимо знать и периодически проверять параметры данных каналов связи. Каналы связи, не обладающие параметрами, заданными в ГОСТ 11515-91, ГОСТ 21655-87, приказом МС РФ №43 от 15.04.96 г. и Рекомендациями МСЭ-Т J.21, J.23, G.712, G713. , не обеспечивают требуемого качества передачи сигналов и не допускаются к эксплуатации. Проверки каналов связи на соответствие заданным параметрам проводятся периодически, поскольку с течением времени линии связи стареют, меняют свои параметры и в итоге выходят из строя. Поскольку номенклатура измеряемых показателей, при проверке качества линий связи, довольно велика, в данном дипломном проекте разрабатывается устройство, позволяющее измерять среднеквадратический уровень сигнала с частотой 1020 Гц, коэффициент гармоник и частоту тонального сигнала звуковой частоты, поданного на вход анализатора. До настоящего времени для измерения практически каждой характеристики канала связи приходилось пользоваться отдельным прибором. В ГОСТе указывался порядок проведения измерений и название прибора, выпускаемого советской промышленностью и предназначенного для выполнения данного вида измерений. Другими словами, что бы измерить коэффициент гармоник нужен был ИНИ( Измеритель нелинейных искажений), для измерения частоты сигнала применялись частотомеры, уровень сигнала измерялся вольтметром. Необходимость использования большого количества тяжелых и громоздких приборов, отсутствие автоматизации проведения измерений создает значительные неудобства оператору.

Элементная база, производимая в Америке и Европе, за последнее время значительно улучшила свои характеристики и одновременно стала достаточно дешевой и доступной даже для россиян. Значительные успехи западных компаний по производству быстродействующих и многоразрядных аналого-цифровых и цифро-аналоговых преобразователей с хорошими характеристиками, производство быстродействующих цифровых сигнальных процессоров, предназначенных для работы в реальном масштабе времени, позволили создавать малогабаритные, экономичные и достаточно дешевые устройства различного назначения. Поэтому появилась возможность совместить в одном небольшом корпусе все приборы, необходимые для тестирования проводных каналов связи. Дипломный проект посвящается разработке именно такого устройства.


1 РАСЧЕТНО-ТЕОРЕТИЧЕСКИЙ РАЗДЕЛ


Принципы построения цифровых генераторов звуковых частот


В современной радиоэлектронной промышленности очень часто используются различного рода генераторы. Раньше, до широкого распространения и удешевления цифровой элементной базы, использовались в основном аналоговые устройства. Задачей генератора является преобразование энергии источника питания в энергию электрических колебаний необходимой формы и частоты. Для построения генераторов используются усилители с положительной обратной связью. Для превращения усилителя в генератор необходимо выполнение условий баланса фаз и баланса амплитуд, иными словами необходимо чтобы обратная связь была положительной, а усиление достаточным для компенсации потерь в цепи обратной связи. Стабильность генератора – это его способность генерировать заданную частоту без дрейфа. Дрейф генератора определяется стабильностью его компонентов. Физические и электрические параметры компонентов изменяются в зависимости от температуры, давления, влажности и питающих напряжений. Кроме того, на частоту генерируемого сигнала влияет разброс параметров элементов, входящих в схему.

В настоящее время в связи с развитием цифровой и микропроцессорной техники, увеличением степени интеграции микросхем, имеется возможность генерации сигналов практически любой формы. Схемы применения цифро-аналоговых преобразователей относятся не только к области преобразования код - аналог. Пользуясь их свойствами можно определять произведения двух или более сигналов, строить делители функций, аналоговые звенья, управляемые от микроконтроллеров, такие как аттенюаторы, интеграторы. Важной областью применения ЦАП являются также генераторы сигналов, в том числе сигналов произвольной формы.

Большое внимание уделяется решению задачи синтеза синусоидальных колебаний с частотами, задаваемыми с высокой точностью[4].

Возможны три цифровых метода получения синусоидальных колебаний:

1.Метод с использованием таблицы

2.Метод рекурсивных вычислений

3.Метод, сочетающий использование таблицы и рекурсивные вычисления.

Обобщенная структурная схема первого метода показана на рисунке 1.1.1


Рисунок 1.1.1


Количество разрядов адресного регистра обращения к таблице синусов может превышать величину log2M (здесь М – размер таблицы синусов), которая необходима для вызова любого отсчета таблицы. Дело в том, что наименьшее приращение адреса определяет минимально возможное значение синтезируемой частоты. Например, таблица синусов может содержать М = 1024 отсчета, тогда как регистр адреса может иметь 20 разрядов. Это означает, что если приращение адреса равно единице, то 1024 раза подряд будет выбираться один и тот же отсчет синуса, после чего произойдет переход к следующему отсчету и т. д. При таких малых приращениях адреса получаемая цифровая синусоида будет очень неточной, а возникающие при этом искажения в спектре трудно устранить с помощь фильтра нижних частот.

Описанная ситуация представлена на рисунке 1.1.2


Рисунок 1.1.2


Для получения идеальной синусоиды необходимо, чтобы спектр искажений, обусловленных цифровым методом формирования синусоиды, располагался выше частоты среза аналогового фильтра нижних частот.

Вместо выбора отсчетов синусоиды из таблицы их можно рассчитывать с помощью простой рекурсивной формулы. Действительно, пусть x(n) – комплексная экспонента вида exp(2kn/NT). Тогда устройство, работающее согласно формуле


X(n)= exp[(j2k/NT)x(n-1)],


будет генерировать требуемую комплексную экспоненту, причем ее действительная часть будет косинусоидой, а мнимая – синусоидой частоты F= k/NT. При таком подходе, если не принимать во внимание эффекты квантования, можно получить идеальную цифровую синусоиду без обращения к таблице. Система (рисунок 1.1.3) начинает работу при поступлении внешнего единичного импульса. Изменение частоты достигается путем изменения значения к в показателе степени коэффициента умножителя, причем предусматривается также восстановление фазы при приходе внешнего импульса или использование последнего выходного отсчета в качестве нового начального условия [4].


Рисунок 1.1.3


К настоящему времени синтезаторы частот рассматриваемого типа еще не построены, поскольку существует опасение, что в такой системе будут накапливаться нежелательные шумы квантования. С другой стороны, из теории предельных циклов следует, что устойчивые колебания всегда будут иметь место. Однако неясно, будут ли они пригодны для получения чисто синусоидальных аналоговых колебаний. Еще одной причиной, препятствовавшей созданию устройства рассматриваемого типа, является неравномерность сетки часто, связанная с квантованием коэффициентов.

Третий способ получается сочетанием первых двух. Он заключается в использовании и вычислений и таблицы синусов.

В техническом задании указано, что кроме гармонического сигнала, проектируемое устройство должно формировать меандр и пилообразный сигнал. Формирование пилообразного сигнала и меандра с различной скважностью проще всего реализовать вычислением отсчетов по соответствующим формулам.

Для пилообразного сигнала: y(n)= kd, k= ( n mod N), d – константа

Mod – операция взятия остатка от деления нацело.

На рисунке 1.1.4 показан пилообразный сигнал, сформированный по этой формуле.


Рисунок 1.1.4


Меандр формируется по формуле: y(n)= d, при (n mod (N+M)) <N y(n)= 0, при других n

Вид получаемого сигнала показан на рисунке 1.1.5


Рисунок 1.1.5


Принимая во внимание все выше сказанное, было принято решение для получения точного гармонического сигнала формировать отсчеты с помощью вычислений, причем чтобы исключить накопление шумов квантования вычисления производятся с помощью прямой формулы (y(n)= Acos(2πfnT), где f – частота, Т – интервал дискретизации), а не рекурсивной. Вычисления отсчетов в реальном масштабе времени (т.е. одновременно с генерацией сигнала) требуют достаточно быстродействующего, а, следовательно, и дорогого, микропроцессора. Поэтому принято решение перед началом генерации вычислять массив отсчетов подлежащего генерации сигнала и записывать его в оперативное запоминающее устройство. Требования к системе позволяют применить данный способ формирования сигнала. Размер массива рассчитывается таким образом, чтобы в него укладывалось не менее одного периода, подлежащего генерации сигнала. Генерация сигнала производится периодическим повторением вычисленного фрагмента.

Оценим необходимый размер буфера для массива отсчетов, подлежащего генерации сигнала. Из курса теории сигналов, известно, что импульсный периодический сигнал имеет дискретный спектр. Спектр периодического сигнала представлен на рисунке 1.1.6.


Рисунок 1.1.6

Тп – период повторения сигнала

F – частота основного тона равная 1/ Тп


Спектр периодического сигнала содержит только гармоники с частотой кратной частоте основного тона. Частота основного тона обратнопропорциональна периоду сигнала. Согласно техническому заданию шаг изменения частоты 1 Гц. Периодический сигнал обладающий этим свойством должен иметь период повторения равным одной секунде. Размер массива отсчетов, подлежащего генерации сигнала, связан с периодом дискретизации. Частота дискретизации является количеством отсчетов необходимых для генерации единицы времени сигнала (одной секунды). В подобных системах частота дискретизации выбирается по теореме Котельникова (Частота дискретизации должна быть больше чем удвоенная верхняя частота дискретизируемого сигнала). Стандартная частота дискретизации 48 кГц полностью удовлетворяет условиям теоремы Котельникова.

Таким образом, для генерации сигнала необходим буфер содержащий не менее 48000 отсчетов сигнала (48000*16 бит= 93,75кБайт).

Подлежащий генерации сигнал изначально является последовательностью дискретных отсчетов в двоичном коде. Разрядность двоичного кода и частота дискретизации определяет точность представления аналогового сигнала. Данная последовательность двоичных отсчетов с частотой дискретизации поступает на цифроаналоговый преобразователь, который каждый период частоты дискретизации выдает напряжение, пропорциональное поступившему на его вход двоичному числу. Максимально возможное напряжение, которое может быть получено на выходе цифроаналогового преобразователя определяется опорным напряжением, поданным на него, и ограничивается только паспортными характеристиками. Таким образом, на выходе цифроаналогового преобразователя получается сигнал ступенчатой формы, для сглаживания которого применяют фильтры низкой частоты. На рисунке 1.1.7 слева изображено напряжение на выходе ЦАП а справа – напряжение с выхода ЦАП прошедшее через ФНЧ.


Рисунок 1.1.7

где U – напряжение

t - время


Данный фильтр должен пропускать без ослабления сигналы звуковой частоты с 20 до 20000 Гц и ослаблять высокочастотный шум, вносимый цифро-аналоговым преобразователем. Обычно используют фильтры Баттерворта, Чебышева, Бесселя различных порядков. Частоту среза данных фильтров при расчете берут большей, чем 20кГц, так как на частоте среза фильтра мощность сигнала ослабляется в 2 раза, а нам необходимо пропустить сигналы звуковой частоты без ослабления. Фильтр Баттерворта имеет плавно спадающую к верхним частотам АЧХ, крутизна, которой увеличивается при увеличении порядка фильтра. Фильтр Чебышева имеет равновеликие пульсации либо в полосе пропускания, либо в полосе затухания, величина которых зависит от порядка фильтра. Вид фильтра выбирают исходя из конкретных требований к изделию и применяемых технических решений. После фильтра получается плавно изменяющееся напряжение звуковой частоты.

Достижения цифровой техники позволяют в настоящее время всего на нескольких микросхемах построить высококачественный, дешевый и надежный генератор, обладающий широкими возможностями формирования сигналов.


1.2 Разработка структурной схемы измерителя


Исходя из требований технического задания, в состав изделия входят следующие устройства:

- персональный компьютер;

- интерфейс RS232;

- микропроцессор;

- блоки памяти;

- АЦП и ЦАП;

- источники питания.

Для удобного управления ходом измерений и отображения результатов измерений в удобном для пользователя виде, а также для проведения вычислений на компьютер необходимо установить соответствующее, специально написанное для этих целей, программное обеспечение. Для связи персонального компьютера с блоком измерителя необходим интерфейс RS232. Через этот интерфейс будет осуществляться передача команд от ПЭВМ (Персональная электронно-вычислительная машина) к блоку измерителя и получение ответов и выборки сигнала от блока. Таким образом, осуществляется управление процессом измерений. Для управления блоком измерителя и формирования сигналов, подлежащих генерации, в двоичном виде необходим микропроцессор. Микропроцессор будет функционировать в соответствии с программой записанной в постоянном запоминающем устройстве (ПЗУ). Для хранения данных в процессе работы микропроцессору необходимо оперативное запоминающее устройство (ОЗУ). Для приема данных из интерфейса RS232 и передачи данных через данный интерфейс необходимо устройство сопряжения интерфейса RS232 и микропроцессора. Данное устройство должно состоять из преобразователя стандартных уровней сигналов интерфейса RS232 (-12В и +12В) в уровни ТТЛ (Транзисторно-транзисторная логика) (0В и +5В). Далее последовательный код, используемый интерфейсом RS232, должен быть преобразован в параллельный для возможности передачи полученных через интерфейс RS232 байт по шине данных в микропроцессор и наоборот. Для формирования аналогового сигнала из дискретного двоичного сигнала, сформированного процессором, используется цифроаналоговый преобразователь. Для получения двоичной выборки аналогового сигнала используется аналого-цифровой преобразователь. Для управления амплитудой генерируемого сигнала и затухания входного сигнала, а также подключения (отключения) выхода генератора к входу анализатора используется устройство коммутации и аттенюации. Периодически подключая выход генератора измерителя к входу анализатора, осуществляется проверка работоспособности изделия. Для коммутации используются реле. Управление реле осуществляется уровнями ТТЛ, поступающими с регистра управления, которые служат для запоминания состояния измерителя. В качестве аттенюаторов используются ЦАПы (Цифро-аналоговый преобразователь). Данный ЦАП упрощенно можно представить в виде двух прецизионных резисторов R1 и R2, причем R2 перестраивается входным цифровым сигналом, поступающим на ЦАП, с высокой точностью. Если включить данные два резистора вместе с внешним операционным усилителем, как показано на рисунке 1.2.1, то получается схема с управляемым цифровым сигналом усилением, где коэффициент усиления равен отношению величин сопротивлений R1 и R2, которое в свою очередь определяется управляющим цифровым сигналом, поступающим на ЦАП.


Рисунок 1.2.1


Управляя затуханием (усилением) входного сигнала с помощью ЦАП, добиваемся такого размаха сигнала, который обеспечивал бы максимальное использование динамического диапазона АЦП (Аналого-цифровой преобразователь), включенного сразу после устройства коммутации и аттенюации. Максимальное использование динамического диапазона позволяет получить наилучшую точность определения амплитуды входного сигнала.

Для функционирования всех элементов данного устройства необходим источник питания. Поскольку данное устройство будет эксплуатироваться в помещениях, а основным источником питания в помещениях является электрическая сеть с переменным напряжением 220В 50Гц, блок питания данного устройства должен обеспечивать преобразование данного напряжения. В проектируемом устройстве для питания большинства микросхем необходимо постоянное напряжение 5В. В схеме присутствуют операционные усилители, для питания которых используется разно полярное напряжение. Для обеспечения необходимого динамического диапазона генерируемых и анализируемых сигналов напряжение питания операционных усилителей должно быть +15В и –15В. Таким образом для функционирования проектируемого устройства необходим блок питания, преобразующий переменное напряжение 220В 50Гц в постоянное напряжение +5В, +15В и –15В.

Структурная схема измерителя показана на рисунке 1.2.2

Рисунок 1.2.2


1.3 Разработка электрической принципиальной схемы процессорного блока


1.3.1 Разработка состава схемы процессорного блока

Микропроцессорный блок осуществляет управление измерителем в целом и обменом данными с ПЭВМ. Поэтому в состав схемы микропроцессорного блока входит, прежде всего, ЦПОС (Цифровой процессор обработки сигналов). Для обеспечения сброса микропроцессора, необходимо на вывод RST микропроцессора подать нулевой сигнал в течение 10 тактов. Это можно осуществить с помощью специальной микросхемы сброса. Значит, в состав схемы проектируемого устройства надо включить одну такую микросхему. Для тактирования микропроцессора будем использовать генератор тактовой частоты. Для хранения программы микропроцессора нужна микросхема ПЗУ. Для хранения команд полученных от ПЭВМ и формирования ответов необходима микросхема ОЗУ. Для преобразования уровней сигналов RS232 (+12В..-12В) в уровни ТТЛ (0..+5В) с которыми работают цифровые микросхемы измерителя, необходима микросхема преобразователя уровней. После выполнения преобразования уровней, необходимо последовательный код интерфейса RS232 преобразовать в параллельный, для возможности передачи по шинам данных процессора и микросхем памяти. Данное преобразование осуществляет микросхема универсального синхронно-асинхронного приемо-передатчика (УСАПП). Тактовая частота работы УСАПП определяется кварцем. Поскольку микросхемы ПЗУ, ОЗУ и УСАПП находятся в одном адресном пространстве, для выбора определенной микросхемы, работающей в данный момент на шину данных, используются сигналы выбора микросхемы (CS – chip select). Эти сигналы формируются тремя старшими адресами шины адреса микропроцессора с помощью дешифратора. Три комбинации сигнала шины адреса преобразуются в шесть сигналов выбора микросхемы. Для подачи питания на измеритель используется разъем. Так как питающее напряжение измерителя +5В, +15В и -15В, разъем нужен на четыре контакта. Для тактирования ЦАП и АЦП необходима частота 12.5МГц, получаемая делением частоты тактового генератора микропроцессора 50МГц на 4 с помощью четырехразрядного счетчика.


1.3.2 Выбор микропроцессора

Главным компонентом процессорного блока является микропроцессор. В настоящее время выпускается большое количество микропроцессоров с различными характеристиками. В основном микропроцессоры производятся за рубежом. В системах цифровой обработки сигналов и управления в реальном масштабе времени применяют специализированные цифровые процессоры обработки сигналов . Реальный масштаб времени подразумевает, что время обработки очередной выборки сигнала меньше периода получения выборок.

Современные цифровые процессоры обработки сигналов являются сложными устройствами с большими возможностями. Фирмы-производители ЦПОС выпускают большое количество самых разнообразных процессоров с различными характеристиками. ЦПОС используются в самых различных областях, начиная с применений в устройствах радиолокации и заканчивая бытовыми приборами. Естественно, не существует идеального процессора для всех областей применений. Для каждой из них при реализации различных алгоритмов ЦОС оказываются важными те или иные характеристики процессоров. Рассмотрим характеристики ЦПОС, которые важны при выборе процессора для конкретной разработки и обычно приводятся в различных таблицах для сравнения.

Тип арифметики. Форма представления данных с плавающей или с фиксированной точкой. Процессоры с фиксированной точкой (ФТ) и плавающей точкой (ПТ) отличаются способностью обрабатывать сигналы и данные, использующие соответствующие формы представления. При этом следует иметь в виду, что все процессоры с ПТ имеют набор команд для обработки данных как с ФТ. Так и с ПТ, т. е. Являются в этом смысле универсальными.

С другой стороны, в процессорах с ФТ всегда можно организовать обработку данных с ПТ, но программным образом. Соответствующие программы преобразования и обработки данных требуют достаточно много времени для выполнения. Основные преимущества процессоров с ПТ:

при использовании 32 разрядов и ПТ существенно повышается точность внутреннего представления данных;

существенно расширяется возможный динамический диапазон сигналов и данных;

при использовании процессоров с ПТ снимается проблема масштабирования данных с целью избежать переполнения при выполнении различных операций.

Достоинства процессоров с ПТ приводят к тому, что при их использовании построение системы ЦОС становится более легким и быстрым.

Разрядность данных. Все обычные ЦПОС с плавающей точкой используют слово данных длиной в 32 бита. Для ЦПОС с фиксированной точкой обычный размер слова данных = 16 битов. ЦПОС фирмы Motorola применяет слово данных в 24 бита. Большинство процессоров допускают обработку с двойной точностью.

Быстродействие. Одним из самых важных параметров с точки зрения конкретных применений является быстродействие процессора. Для характеристики быстродействия используют различные параметры, однако все они определяют только конкретные стороны проблемы. Реальнее характеризует быстродействие системы время решения различных реальных задач и тестов.

Тактовая частота работы процессора и связанное с ней время командного цикла. Как правило, при описаниях процессоров обычно указывается внешняя тактовая частота, подаваемая на процессор. Она может отличаться от внутренней частоты работы из-за наличия системы деления или умножения частоты. Для последних процессоров, в которых внешняя частота может изменяться в широких пределах, чаще указывают внутреннюю частоту работы процессора.

Время командного цикла связано с внутренней частотой работы процессора. Так как отдельная операция в процессоре может выполняться как за несколько циклов, так и за один, время командного цикла является самой неоднозначной характеристикой быстродействия процессора. К тому же, в некоторых процессорах используется параллельное выполнение команд и параллельная работа нескольких операционных модулей. Поэтому время цикла полностью не характеризует реально выполняемую процессором работу.

Количество миллионов команд, выполняемых за секунду MIPS (Million instructions per second). В ЦПОС используются различные команды, в том числе комбинированные, в соответствии с которыми одновременно выполняется несколько операций. Кроме того, существуют процессоры с несколькими АЛУ (Арифметико-логическое устройство) в которых применяются длинные команды, а так же процессоры с архитектурой VLIW (Very large instruction word). Таким образом одной команде в разных процессорах соответствует различная выполняемая работа. Поэтому характеристика MIPS неоднозначно определяет быстродействие процессора.

Количество миллионов операций за секунду MOPS (Millions operations per second). Эта характеристика более однозначно, по сравнению с другими, характеризует быстродействие, т. к. учитывает выполнение параллельных команд и одновременную работу нескольких операционных модулей. Однако нет стандартного определения операции. Иногда к выполняемым операциям относят и выборки команд, и запись в память полученных результатов.

Количество миллионов операций с плавающей точкой за секунду VFLOPS (Millions of floating-point operations per second). Эта характеристика используется в процессорах с плавающей точкой. К ней относится все сказанное относительно MOPS.

Количество операций MAC в единицу времени. Возможный путь определения производительности состоит в выборе единой простой операции для целей сравнения. Для прикладных программ ЦОС естественным является выбор операции умножения-накопления MAC, которая является основной для алгоритмов ЦОС.

Объем и разновидности внутренней памяти (ROM, OTP ROM, RAM, Flash, кэш). Эти характеристики определяют многие параметры и возможности разрабатываемой системы. Наличие памяти типа ROM (ПЗУ, программируемого при изготовлении процессора) позволяет заказывать ЦПОС с записанной программой работы системы. Такой вариант использования ЦПОС экономически оправдан при крупносерийном производстве. Память типа OTP ROM (One time programmable ROM, однократно программируемое ПЗУ) позволяет моделировать и тестировать систему при отработке программного обеспечения, а также изготавливать единичные и мелкосерийные образцы. Память типа Flash позволяет неоднократно перезаписывать программу и данные в процессоре, в том числе и на рабочем месте, т. е. Непосредственно на изготовленной плате системы. Объем и разновидности памяти типа RAM определяют возможности построения системы без использования внешней памяти, как для хранения данных, так и загружаемой программы.

Объем адресного пространства памяти определяется разрядностью шины адреса и характеризует возможный общий объем памяти, используемой в системе.

Количество и разновидности портов последовательного ввода информации определяют возможности системы с точки зрения связи с различными внешними устройствами.

Внутренние периферийные устройства. Используемые в ЦПОС периферийные устройства можно условно разделить на устройства общего применения (типа таймеров) и проблемно-ориентированные устройства (кодеки, компрессоры, сопроцессоры и т. д.). Последние облегчают построение специализированных цифровых систем.

Наличие и количество каналов DMA (Direct Memory Access). Прямой доступ к памяти позволяет общаться с внешними устройствами, в том числе записывать отсчеты входного сигнала (выводить полученные отсчеты выходного сигнала) без использования ресурсов и затрат времени ЦПОС. Это очень эффективная особенность, облегчающая построение высокопроизводительных систем.

Напряжение питания и потребляемый ток. Характеристики процессора, особенно важные при построении переносимых систем с батарейным питанием. С этими характеристиками связан такой показатель, как потребляемая мощность. Следует отметить, что потребляемая мощность существенно зависит от выполняемой программы и как правило, не приводится.

Многие производители предлагают низковольтовые (3,2 В, 2,5 В или 1,8 В) версии процессоров, которые потребляют гораздо меньше мощности, чем пятивольтовые эквиваленты при той же производительности.

Процессор может работать в различных режимах, в том числе в режиме ожидания (Idle), при нахождении в котором ряд внутренних модулей отключается и не потребляет энергии. Поэтому потребляемый ток иногда приводят для различных режимов работы.

Многие современные процессоры с пониженным напряжением питания используют различное напряжение для ядра процессора и периферийных устройств. Некоторые ЦПОС позволяют программно отключать неиспользуемые периферийные устройства.

Комбинированные относительные показатели типа «мощность-ток-быстродействие». Естественным свойством любых электронных устройств, в том числе и ЦПОС, является повышение потребления мощности при увеличении быстродействия. Поэтому многие фирмы в качестве показателя эффективности процессора используют удельные относительные показатели потребления энергии или тока, отнесенные к некоторой единице быстродействия, например показатель ma/MIPS. Иногда в такие удельные показатели включают и стоимость процессора.

Наличие различных средств и информационных ресурсов сопровождения разработки. Виды сопровождения разработки цифровой системы на конкретном процессоре могут быть самые разнообразные( наличие и состав пакетов программного обеспечения разработки, наличие и состав средств отладки систем, наличие и доступность документации, информационная поддержка, существование библиотек стандартных программ и математических функций, наличие совместимых с процессором устройств преобразования данных АЦП, ЦАП).

Выбор процессора для конкретной разработки целиком определяется назначением разрабатываемой системы.

В процессе поиска микропроцессоров были найдены следующие виды ЦПОС, представленные в таблице 1.3.2.1


Таблица 1.3.2.1

Тип ЦПОС Фирма изготовитель Время командного цикла, нс Представление чисел, разрядность Внешняя память Технология Потребляемая мощность, Вт
ADSP2102 Analog Device 80 ФЗ, 16 бит 16К*16 КМОП 1
DSP32C AT&T ME 80 ПЗ, 24 бит 16М*8 КМОП 1,9
HD61810 Hitachi 250 ПЗ, 16 бит 64К КМОП 0,25
DSP56001 Motorola 97,5 ПЗ, 24 бит 128К*24 КМОП 0,45
PD77C20 NEC 250 ФЗ, 16 бит - КМОП -
TMS32010 Texas Instruments 200 ФЗ, 16 бит 4К*16 КМОП 1
TMS320C31 Texas Instruments 50 ПЗ, 32 бит 16М КМОП 0,85

Из данной таблицы следует, что наиболее предпочтительным для использования является процессор TMS320C31, поскольку он обладает достаточно высоким быстродействием, относительно малой потребляемой мощностью, широким адресным пространством внешней памяти и удобной для программирования архитектурой с ПЗ и 32 разрядными данными. Кроме того, процессоры серии TMS320C3x хорошо известны, в том числе, на российском рынке. Учитывая огромный накопившийся для этого процессора объем программного обеспечения, разработчики фирмы Texas Instruments воспроизвели это семейство на современной технологии (0,18 мкм вместо 0,65мкм), существенно улучшив его качественные показатели и одновременно снизив цену [3].

Таким образом, выбираю микропроцессор TMS320C31.


1.3.3 Выбор ПЗУ и ОЗУ

По функциональному назначению и областям применения запоминающие устройства (ЗУ) подразделяются на оперативные с произвольной выборкой информации (ОЗУ), применяющиеся, например, в основной памяти вычислительных машин, и постоянные ЗУ с программированием на стадии изготовления (ПЗУ) или пользователем (ППЗУ), предназначенные для хранения программ или для блоков микропрограммного управления вычислительных машин, генераторов символов, таблиц. Разновидностью ППЗУ являются ЗУ с перепрограммированием - так называемые репрограммируемые ЗУ (РПЗУ), применяемые для отладки программ, когда необходима многократная смена информации.

ОЗУ бывают статического и динамического типов. В динамических ЗУ информация хранится в виде электрического заряда на МОП-конденсаторе. Вследствие утечки накопленного заряда требуется его регенерация. Необходимость использования дополнительных схем регенерации и иногда трех источников питания с различным напряжением является недостатком схем данного типа. Однако благодаря большей степени интеграции и низкой стоимости ЗУ этого класса широко применяются в основной памяти вычислительных машин, в периферийных и буферных устройствах .

В отличие от ОЗУ динамического типа в запоминающей ячейке статических ОЗУ используются потенциальные триггеры. Поэтому для этих ОЗУ в регенерации необходимости нет. Для их работы, как правило, необходим только один источник питания.

Основным параметром для выбора микросхемы ПЗУ и ОЗУ является объем ее памяти. Поскольку программа, по которой работает микропроцессор, имеет объем 28К байт, микросхема памяти ПЗУ должна быть объемом 256М бит. В настоящее время микросхемы ПЗУ и ОЗУ производит большое количество фирм (AMD, Atmel, Microchip и т.д), причем параметры у них примерно одинаковые. В нашей стране основным критерием выбора микросхем является цена и наличие в продаже данного вида микросхем. В ходе поисков были найдены следующие микросхему ПЗУ, представленные в таблице 1.3.3.1.

Таблица 1.3.3.1

Название Производитель Объем
27С512 Microchip 64Kx8
M27C64A STMicroelectronics 8Kx8
M27C516 STMicroelectronics 32Kx16
AM27C4096 AMD 256Kx16
AM27C256 AMD 32KX8

Поэтому в качестве ПЗУ выбираю микросхему AM27C256-200DC, которая имеет наиболее подходящие для проектируемой системы характеристики.

Поскольку микропроцессор TMS320C31 является 32 разрядным, для эффективной работа нужна микросхема ОЗУ с 32 разрядным словом данных. Поскольку статические ОЗУ стоят гораздо дороже динамических и, как правило, имеют небольшой объем памяти, для проектируемой системы целесообразно использовать ОЗУ динамического типа.

Оценим необходимый объем ОЗУ. Как было показано выше в разделе 1.1, для генерации сигнала необходим буфер объемом 93,75Кбайт. Кроме того, проектируемая система должна позволять определять частоту сигнала, подаваемого на ее вход, с погрешностью не более одного герца. Определение частоты сигнала будет осуществляться применением алгоритма быстрого преобразования Фурье (БПФ) к массиву оцифрованных отсчетов сигнала. После применения БПФ, частота наибольшего по значению отсчета сигнала и будет считаться частотой входного сигнала. Из курса теории сигналов известно, что продискретизированный с частотой Fд сигнал, а именно такой сигнал мы получаем с выхода АЦП, имеет периодический спектр с периодом равным частоте дискретизации. Применив БПФ к массиву N отсчетов сигнала, полученных с АЦП, получаем один период спектра сигнала (рисунок 1.3.3.1).


Рисунок 1.3.3.1


В полученном спектре сигнала содержится N гармоник. Гармоники отстоят друг от друга на расстоянии Fд/N. Кроме того для эффективного использования алгоритма БПФ необходима выборка сигнала с размером кратным степени двойки. Поскольку частота дискретизации выбрана 48000 Гц и точность определения частоты должна быть 1 Гц, размер выборки сигнала должен быть не менее 48000 отсчетов. Ближайшим к данному числу число, являющееся степенью двойки, равно 65536. У выборки сигнала размером 65536 отсчетов соседние гармоники в спектре отстоят на расстоянии 48000:65536=0,732 Гц, что удовлетворяет техническому заданию. Требуемый размер буфера для хранения этих данных равен 65536*16= 128 Кбайт.

Таким образом для работы данной системы необходим объем памяти не менее 128+93.75= 222.75Кбайт. При проектировании микропроцессорных систем рекомендуется выбирать объем памяти примерно в 2 раза больше необходимого, поэтому выбираю с запасом микросхему памяти объемом 512Кбайт.

В ходе поисков были найдены следующие микросхемы, представленные в таблице 1.3.3.2


Таблица 1.3.3.2

Название Производитель Объем Питание
AS7C256 Alliance 32Kx8 5V / 3.3V
CY62256 Cypress 32Kx8 5V
K6T1008C2E Samsung 128Kx8 5V
UT62256 UTRON 32Kx8 5V
AS7S128K32 Alliance 128Kx32 5V

Наиболее подходящей является микросхема SRAM фирмы Alliance AS7S128K32.


1.3.4 Выбор дешифратора, счетчика и инвертора

Микропроцессор, являющийся основным элементом устройства, оперирует с сигналами ТТЛ уровня, поэтому дешифратор, счетчик и инвертор должны быть микросхемами ТТЛ. На сегодняшний день микросхемы ТТЛ практически полностью вытеснены микросхемами ТТЛШ, имеющие во внутренней структуре переходы с барьерами Шоттки. Данные микросхемы обладают более высоким быстродействием. Данные микросхемы производятся той же фирмой Texas Instruments, что производит и микропроцессор TMS320C31, серия SN74LSxxxx.

Микросхемы изготавливаются по усовершенствованной эпитаксиально-планарной технологии с диодами Шоттки и оксидной изоляцией, одно- и двухуровневой металлизированной разводкой на основе PtSi-TiW-AlSi.

В качестве инвертора выбираю микросхему 74LS04. Дешифратор нужен из 3 на 8. В этой серии имеет 2 вида дешифраторов 3 на 8: SN74LS138J(N) и SN74S138J(N). Но SN74LS138J(N) имеет мощность потребления меньше чем SN74S138J(N). Поэтому выбираю микросхему SN74LS138J(N).

Четырех разрядный счетчик предназначен для деления тактовой частоты 50МГц на четыре для тактирования АЦП и ЦАП. Двоичным 4х разрядным счетчиком, удовлетворяющим требованиям по частоте, является микросхема SN74S161J(N).


1.3.5 Выбор генератора тактовой частоты

Генератор служит для выработки тактовой частоты работы микропроцессора. С помощью элементов ТТЛ (буферных, И, ИЛИ) можно проектировать автогенераторы, у которых выходная частота колебаний превышает 30 МГц. Чтобы автогенератор быстро возбуждался и работал устойчиво во всем диапазоне внешних воздействий, лежащая в его основе усилительная линейка должна быть неинвертирующей с большим коэффициентом усиления, который по возможности следует стабилизировать. Простейший автогенератор получается из двух инверторов, но при этом значение коэффициента усиления невелико. Удобнее включить три или четыре элемент из микросхемы. На рисунке 1.3.5.1 показана схема автогенератора, в которой положительная обратная связь через конденсатор охватывает два элемента DD1.1 и DD1.2, причем DD1.1 выведен в линейный, усилительный режим с помощью резистора отрицательной обратной связи R1. Элемент DD1.3 применяется здесь как буферный, чтобы уменьшить влияния нагрузки на частоту автогенератора. Частота генерации равна одной трети произведения величин сопротивления и емкости.


Рисунок 1.3.5.1


Данная схема требует наличия дополнительных навесных элементов, обладает низкой надежностью, кроме того, из-за разбросов параметров сопротивления и емкости требуется их подбор. Поэтому для тактирования работы микропроцессора принято решение взять высокостабильный генератор тактовой часты QO105BIC 50MHz.


1.3.6 Выбор микросхемы сброса

Микросхемы супервизоров микропроцессоров/микроконтроллеров и других цифровых систем выполняют взросшее за последнее время количество функций. Кроме формирования сигнала сброса при подаче, пропадании и кратковременном снижении напряжения питания, организации бездребезгового ручного запуска сигнала сброса, микросхемы супервизоров организуют переключение критичных элементов системы на резервное питание, ведут мониторинг напряжения батарей. Они оснащаются встроенными сторожевыми таймерами и ключами подключения к резервному питанию.

Для надежного запуска микропроцессора после подачи напряжения питания и блокировки работы микропроцессора при понижении напряжения питания в схеме применена микросхема супервизора напряжения питания, удерживающая на своем выходе уровень логического нуля при напряжении питания меньшем определенного порога и недостаточном для функционирования микропроцессора. В процессе поиска было найдено достаточно большое количество данных микросхем, производимых различными фирмами (Mitsumi PST529D; Dallas Semiconductor DS1233-15; Analog Devices ADM705, Maxim MAX705 (супервизор питания с Watch Dog) и т. д.). Выбираю микросхему ADM705AN поскольку она наиболее часто встречалась в каталогах фирм, торгующих электронными компонентами.


1.3.7 Выбор микросхемы УСАПП

Микросхемы УСАПП в настоящее время производится многими фирмами и имеют практически одинаковые показатели качества. Поскольку большинство элементов, используемых в схеме является продукцией фирмы Texas Instruments, чтобы проще было оформлять заказ, я решил взять микросхему УСАПП производства этой же фирмы TL16C550CFN.


1.3.8 Выбор преобразователя уровней

В процессе поисков были найдены следующие микросхемы преобразователей уровня, представленные в таблице 1.3.8.1.


Таблица 1.3.8.1

Название Производитель Максимальная скорость передачи, кбит/сек Потребляемый ток, мА
ST232 ST-Microelectronics 200 10
ST232A ST-Microelectronics 400 4
MAX3250 Maxim 250 20
SP3222 Sipex 235 4
AD242 Analog Device 120 2

Поскольку использование скоростей интерфейса RS232 больших чем 115200 бит в секунду не планируется, так как это максимально возможная скорость устанавливаемая на ПЭВМ, в проектируемой системе будет использована микросхема преобразователя уровней фирмы Analog Device AD242.


1.3.9 Описание электрической принципиальной схемы процессорного блока

Через разъем X1 в схему блока измерителя подается напряжение питания, питающее микросхемы и операционные усилители. Генератор DD2 предназначен для тактирования микропроцессора частотой 50МГц. Счетчик DD4 предназначен для деления опорной частоты на 4 для формирования сигнала тактирования ЦАП и АЦП. Микросхема DD1 предназначена для формирования сигнала сброса микропроцессора. Микросхема декодера DD7 предназначена для формирования из 3х линий старших адресов A19, A20, A21 сигналов выбора микросхемы памяти, УСАПП, записи в регистр, записи кода усиления в логарифмические ЦАП.

Разъем X2 используется для подключения провода интерфейса RS232. С разъема сигнал поступает на преобразователь уровней DD10 для преобразования уровней интерфейса RS232 (+12В..-12В) в уровни ТТЛ (0В..+5В). После преобразования уровня напряжений сигал поступает на микросхему УСАПП DD9. УСАПП осуществляет преобразование последовательного кода интерфейса RS232 в параллельный код. При поступлении на УСАПП очередного байта от ПЭВМ данная микросхема вырабатывает сигнал прерывания. На процессоре входы прерываний являются инверсными поэтому сигнал прерывания от УСАПП подключается к входу прерываний процессора через инвертор DD5. Для тактирования УСАПП используется кварцевый резонатор ZQ1 включенный вместе с конденсаторами С1 и С2.

Микропроцессор DD3 является ядром системы. Он работает по программе записанной в ПЗУ DD6. Для хранения промежуточных данных и т.п. используется микросхема ОЗУ DD8.


1.4 Разработка электрической принципиальной схемы блока формирования и управления


1.4.1 Разработка состава блока формирования и управления

Блок формирования и управления предназначен для генерации заданного сигнала, оцифровки входного сигнала и коммутации входных и выходных цепей. Для формирования аналогового сигнала из двоичного кода используется ЦАП. Для регулировки амплитуды входного и выходного сигнала также используется ЦАП, но другого типа. Затухание (усиление) входного сигнала, которого зависит от двоичного кода, поданного на входы данных этого ЦАП. Для преобразования аналогового сигнала в двоичный код и передачи его в микропроцессор используется АЦП. Для коммутации входных и выходных цепей используются реле. Управление реле осуществляет регистр, в который записывается текущее состояние блока измерителя. Также для работы схемы используются операционные усилители.


1.4.2 Выбор ЦАП

В процессе поисков были обнаружены следующие цифро-аналоговые преобразователи, представленные в таблице 1.4.2.1.


Таблица 1.4.2.1

Название Производитель Разрядность, бит Напряжение питания, В Динамический диапазон, дБ
AD1851 Analog Device 16 +5 79
LC7881 Sanyo 16 5 79
MC144110 Motorola 6 5..15 36
TDA1543 Philips 16 5 79
CS4390 Crystal 16,18,24 5 79, 108, 144

Из приведенной таблицы следует, что наиболее предпочтительным для использования в проектируемом устройстве является ЦАП производимый фирмой Crystal Semiconductor CS4390. К тому же данный ЦАП имеет последовательный интерфейс, позволяющий напрямую подключить данный ЦАП к последовательному порту микропроцессора. Поэтому была выбрана микросхема CS4390.


1.4.3 Выбор АЦП

В процессе поисков подходящих АЦП для проектируемой системы, были найдены следующие микросхемы АЦП, приведенные в таблице 1.4.3.1.


Таблица 1.4.3.1

Название Производитель Разрядность Частота дискретизации, кГц
AD768 Analog Device 12 200
TLC1549 Texas Instruments 10 38
TLC2558 Texas Instruments 12 38
CS5360 Crystal Semiconductor 16, 18, 24 50

Из приведенной таблицы видно, что наилучшими характеристиками для проектируемой системы обладает АЦП фирмы Crystal Semiconductor CS5360. Разрядность N= 16 данного АЦП позволяет определять напряжение сигнала с точностью

До U= 7610-6В определять амплитуду сигнала, что удовлетворяет условиям технического задания, а наличие последовательного цифрового интерфейса позволяет наиболее простым способом подключить данную микросхему к микропроцессору. Выбираю микросхему CS5360.


1.4.4 Выбор аттенюирующего ЦАП

Аттенюирующий ЦАП используется для управления амплитудой генерируемого сигнала и регулирования амплитуды входного сигнала. Необходимость регулирования амплитуды входного сигнала, поступающего на АЦП, обусловлена необходимостью определения амплитуды входного сигнала с максимально возможной точностью. Этого можно добиться, если перед оцифровкой входного сигнала АЦП входной сигнал усилить таким образом, чтобы он занимал весь динамический диапазон АЦП. Исходя из этих соображений и следует выбирать аттенюирующий ЦАП.

Из технического задания следует, что максимальный уровень входного сигнала равен +16 дБ (относительно 0,775 В). Преобразовав по общеизвестной формуле



уровень в напряжение, получил, что максимальная амплитуда напряжения равна 4,88 В. Соответственно минимальный уровень напряжения из технического задания равен –30 дБ или 0,0245В.

Поскольку максимальный уровень напряжения который может быть подан на выбранный АЦП составляет 5В, следовательно аттенюирующий ЦАП должен пропускать без затухания максимальный уровень входного сигнала и усиливать минимальный приблизительно до 5В.

Определим минимально необходимый динамический диапазон усиления входного сигнала. Данная величина вычисляется по общеизвестной формуле:



Подставив в данную формулу максимальное(5 В) и минимальное(0,0245 В) напряжение получил, что минимальный динамический диапазон D равен 46дБ. Поскольку для оцифровки сигнала в хорошем качестве необходимо чтобы сигнал занимал не менее 95 процентов динамического диапазона АЦП, шаг перестройки аттенюирующего ЦАП должен быть не более U= (1-0,95)*5В= 0,25В. Шаг перестройки связан с разрядностью ЦАП. Таким образом разрядность данного ЦАП должна быть не менее N=max(log2(Umax/U))=5.

В ходе поисков были найдены следующие, пригодные для аттенюации ЦАП, представленные в таблице 1.4.4.1.


Таблица 1.4.4.1

Название Производитель Разрядность Потребляемая мощность, Вт
DAC715 Texas Instruments 16 1,6
MAX504 Maxim 10 1,2
AD7112 Analog Device 8 1

Наиболее подходящим является ЦАП фирмы Analog Device AD7112. Таблица ослабления (усиления) сигнала в зависимости от поданного на ЦАП кода приведена в приложении 1.


1.4.5 Выбор регистра

Поскольку большинство используемых элементов производится фирмой Texas Instruments, был выбран регистр производства данной фирмы. Так как в схеме используются три группы реле, управляющие коммутацией (первая – для управления подачей сигнала генератора в анализируемую цепь, вторая – для управления подключением анализатора, третья – для управления подачей сигнала генератора на анализатор напрямую, минуя анализируемую цепь), для управления достаточно 4х разрядного регистра. Выбираю регистр фирмы Texas Instruments 74LS194A.


1.4.6 Выбор операционного усилителя

В процессе поисков операционных усилителей были найдены следующие микросхемы, представленные в таблице 1.4.6.1.


Таблица 1.4.6.1

Название Производитель Потребляемый ток, мкА Напряжение питания, В
OP07 Texas Instruments 150 +3.. +15
MC33172 Motorola 180 +1,5..+22
MCP601 Microchip 375 2,7..5,5
MAX475 Maxim 145 +3.. +15

Из таблицы видно, что наилучшими характеристиками обладает операционный усилитель фирмы Maxim. Кроме того одна микросхема этой фирмы содержит четыре операционных усилителя, что позволяет сократить количество используемых в изделии микросхем и тем самым повысить общую надежность устройства. Поэтому была выбрана микросхема операционного усилителя фирмы Maxim MAX475CPD/MXM.


1.4.7 Выбор реле

Для коммутации входных и выходных цепей необходимы реле. Поскольку скорость коммутации в проектируемом устройстве невелика, можно использовать электромеханические реле (катушка индуктивности управляет контактами ключа), которые обеспечивают наилучшую линейность передачи сигналов большой и малой амплитуды. В ходе поисков электронных компонентов были найдены следующие элементы, представленные в таблице 1.4.7.1


Таблица 1.4.7.1

Название Производитель Переключаемое максимальное напряжение, В

Rзамк. конт,

мОм

Потребляемая мощность, мВт
G2RL OMRON 300 100 400
G6B OMRON 125 30 280
P2 AXICOM 220 50 140
DIP0,5-1A72 MEDER 200 30 120

Из приведенных данных видно, что целесообразнее всего применить реле DIP0,5-1A72, так как оно имеет наименьшую потребляемую мощность и удовлетворяет характеристикам проектируемого устройства.


1.4.8 Расчет параметров элементов ФНЧ для устранения внеполосного шума ЦАП

В руководстве по использованию ЦАП CS4390 указана необходимость использования фильтра нижних частот на выходе ЦАПа из-за достаточно высокого уровня внеполосного шума производимого данным ЦАПом. Спектр выходного шума показан на рисунке 1.4.8.1


Рисунок 1.4.8.1

где Fд – частота дискретизации


Рекомендуемые применения ЦАП компании Crystal требуют двухполюсный фильтр для снижения внеполосного шума. На рисунке 1.4.8.2 представлена схема данного фильтра [2].


Рисунок 1.4.8.2


В [2] приведен порядок проектирования данного вида фильтров. Он включает в себя следующие шаги:

1.Определяется требуемое среднеквадратическое значение сигнала в полосе пропускания фильтра, Но. Данная величина берется со знаком минус вследствие инвертирующей конфигурации включения операционного усилителя в составе фильтра.

2.Выбирается желаемый тип фильтра, Баттерворта, Бесселя и т. д. и частота среза для окончательного проектирования. Частота среза определяет полосу частотной и фазовой характеристик фильтра. Тип фильтра определяет коэффициенты α и β, необходимые для проектирования. В таблице 1.4.8.1 показаны коэффициенты для нескольких типов фильтров.


Таблица 1.4.8.1

Тип фильтра Α β
Баттерворта 0,7071 0,7071
Бесселя 1,1030 0,6368
Чебышева, 0.01дБ пульсации 0,6743 0,7075

Чебышева, 0.1 дБ

Пульсации

0,6104 0,7106

3.Выбираются стандартные значения для С5 и С2. Эти значения используются на четвертом шаге и должны быть выбраны таким образом, чтобы значение

было действительным. Где К=С5/С2.

4.По данным Fc, Но, С2, С5, α, β, вычисляются R1, R3, R4, используя следующие формулы. Но будет отрицательным из-за инверсного включения операционного усилителя.




5.Рекомендуется, чтобы R1 было минимум 10кОм. Это рекомендовано производителями данного ЦАП. Тем не менее, значения большие 10кОм приводят к малым значениям емкостей (десятки пикофарад) которые становятся соизмеримыми с паразитными емкостями схемы. Возможно будет необходимо немного изменить значения емкостей, выбранных на третьем шаге.

6.Значения величин сопротивлений, вычисленных на четвертом шаге, обычно не принадлежат стандартному ряду величин сопротивлений. Надо выбрать стандартные значения, которые наиболее близко приближены к вычисленным. Это не должно сделать большие изменения в характеристиках фильтра с тех пор как стали доступными резисторы с примерно 2,5 процентным приращением значения номинала. Это позволяет выбирать резисторы со значениями достаточно близкими к вычисленным значениям.

Произвожу расчет параметров фильтра. Поскольку желаемый выходной уровень сигнала в полосе пропускания 2В, Но = -2. Выбираю двухполюсный фильтр Баттерворта с частотой среза 50 кГц. Данный фильтр уменьшает амплитуду сигнала на частоте 20 кГц примерно на 0.1 дБ и имеет почти идеальную линейную фазовую характеристику в полосе звуковых частот.


Fc= 50 кГц

= 0.7071

= 0.7071


Выбираю стандартные значения для С2 и С5.

С2= 150 пФ

С5= 1000 пФ


По заданным , , Но, Fc, С2, С5 вычисляются значения R1, R3, R4.


R1= 9.875 кОм

R3= 3.42 кОм

R4= 19.75 кОм


Выбираю стандартные значения наиболее близкие к вычисленным


R1= 10 кОм

R3= 3.4 кОм

R4= 20 кОм


Таким образом, к выходу ЦАП будет подключен фильтр с параметрами:


С2= 150 пФ

С5= 1000 пФ

R1= 10 кОм

R3= 3.4 кОм

R4= 20 кОм


Поскольку в проектируемом изделии используется ЦАП с парафазным выходом (в системах передачи звукового сигнала используется парафазный сигнал для компенсации воздействия помех), к рассчитанному фильтру необходимо добавить на не инверсный вход операционного усилителя точно такую же ветвь что находится на инверсной. На рисунке 1.4.8.3 изображен полученный фильтр.

Рисунок 1.4.8.3


Данный фильтр подключается к выходу цифро-аналогового преобразователя.


1.4.9 Описание принципиальной схемы блока формирования и управления

Из последовательного порта микропроцессора по шине в ЦАП DD11 поступает последовательный код. На вход SDAT поступают числа, подлежащие цифро-аналоговому преобразованию с выхода DX0 микропроцессора. На вход SCLK поступает сигнал синхронизации битов поступающих на вход SDAT с выхода CLX0 микропроцессора. На вход LRCK поступает сигнал кадра данных с выхода FSX0 микропроцессора. На вход MCLK поступает сигнал высокой частоты, с которой работает ЦАП. Данный сигнал получается делением на 4 опорной частоты микропроцессора с помощью счетчика. Поскольку проектируемое устройство будет генерировать моносигнал, у ЦАПа, предназначенного для формирования стерео аудио сигнала, используется только выход левого канала. С выхода левого канала ЦАПа сигнал поступает на активный ФНЧ, построенный на операционном усилителе DA1.1, резисторах R1, R2, R3, R4, R5, R6 и конденсаторах C7, C8, C9, C10. После фильтрации сигал поступает на логарифмический ЦАП DD13, предназначенный для регулирования уровня выходного сигнала. Степень ослабления выходного сигнала регулируется записью по входам D0..D7 кода ослабления. Запись осуществляется при подаче низкого уровня на вход WR микросхемы. Работа данной микросхемы осуществляется с помощью внешнего операционного усилителя DA13. Далее сигнал поступает на цепи «Прямой сигнал» разъема X3. Для формирования инверсного сигнала используется операционный усилитель DA2.2 и резиcторы R16, R18 и подстроечный резистор R12 для уравнивания амплитуд сигналов прямого и инверсного канала.

Регистр DD12 используется для запоминания состояния коммутации цепей. Коммутируются цепи с помощью реле K1..K6.

С контактов разъема X4 входной сигнал поступает на суммирующую схему операционного усилителя DA1.2 через конденсаторы С11 и С 12, необходимые для устранения постоянной составляющей сигнала. Далее сигнал поступает на ЦАП DD14 аналогичный тому, что стоит в канале генерации и предназначенный для регулирования амплитуды входного сигнала.

Поскольку после регулирующего уровень ЦАПа сигнал является двуполярным, а АЦП DD15 работает с однополярным сигналом с амплитудой в пределах 5В, сигнал надо поднять. Для этого используется суммирующая схема на основе операционного усилителя DA2.3. Опорный сигнал для суммирования (на сколько надо поднимать входной сигнал) поступает с выхода CMOU АЦП. Поскольку в документации на данный АЦП указано, что данный выход имеет низкую нагрузочную способность, данный выход подключается через буферную схему на основе операционного усилителя DA2.1. Для формирования парафазного сигнала, с которым работает АЦП (данный АЦП спроектирован специально для использования в звуковых системах и поэтому имеет парафазный вход) DD15, используется схема инверсии сигнала на основе операционного усилителя DA2.4 с резисторами R21, R22 и построечным резистором R20. Построечный резистор используется для уравнивания амплитуд прямого и инверсного канала парафазного сигнала. После аналого-цифрового преобразования входного сигнала, осуществляемого АЦПом DD15, последовательный код передается в последовательный порт микропроцессора. С выхода SDAT последовательность бит поступает на вход DR0 микропроцессора. Для синхронизации каждого бита используется сигнал с выхода SCLK, который подается на вход CKR0 микропроцессора. Для синхронизации кадров используется сигнал LRCK, который подается на вход FSR0 микропроцессора.

Для фильтрации напряжения питания подаваемого на микросхемы применяются конденсаторы С13..С29.

Таким образом, осуществляется формирование выходного сигнала, управление выходными цепями и оцифровка входного сигнала.


1.5 Разработка алгоритма работы измерителя


В данном разделе будет описан укрупненный (без упоминания мелких деталей) алгоритм функционирования блока измерителя.

После подачи питания на блок измерителя, необходимо инициализировать как сам процессор, так и всю периферию, которой он управляет. В процессоре устанавливаются в нужное состояние регистры, определяющие работу последовательного порта ввода вывода, выделяется память в ОЗУ под стек, под буфер для команды, полученной от ПЭВМ и ответа, ожидающего отправления на ПЭВМ и т.д. После инициализации из неопределенного состояния блок переходит в определенное, необходимое, заранее заданное.

Поскольку пользователь управляет процессом измерений с помощь ПЭВМ, главным процессом в работе измерителя будет диалог с ПЭВМ через интерфейс RS232. Данный диалог будет строиться по принципу команда-ответ. Пользователь на ПЭВМ с помощью интерфейсных элементов программы формирует необходимую конфигурацию проведения измерений (подключение или отключение генератора от входа канала, подключение генератора прямо к анализатору и т.п.). Пользователь также имеет возможность задавать параметры генерируемого сигнала. Сигнал, подлежащий генерации, виде набора дискретных двоичных отсчетов включается в команду. Программа на ПЭВМ преобразует данную информацию в двоичный код, который и составляет команду для блока измерителя. Поскольку сформированная команда может достигать больших размеров, перед передачей она режется на пакеты, а затем на приемной стороне склеивается в целую команду. Каждый пакет содержит контрольную сумму для повышения надежности передачи информации. При разработке алгоритма обмена блока с ПЭВМ через интерфейс RS232 была использована модель OSI (Open System Interconnection), рекомендованная международным комитетом.

Поэтому после инициализации блок измерителя переходит в состояние ожидания команды от ПЭВМ, которая определит дальнейшее поведение блока. Инициализация начала обмена ПЭВМ с блоком измерителя происходит записью определенного заранее заданного байта в интерфейс RS232. Получив данный байт, блок измерителя переходит в состояние ожидания первого пакета, имеющего фиксированную длину, заданную константой и известной как блоку измерителя так и программе на ПЭВМ. Полученный первый пакет в своем составе, кроме контрольной суммы, содержит и длину следующего за ним пакета. Таким образом, блок измерителя знает, сколько байт он получит из интерфейса RS232 в следующий раз. Если длинна следующего пакета равна нулю, это означает, что данный полученный пакет является последним, и передача команды завершена.

Получив команду, блок измерителя начинает ее анализ, чтобы определить, что надо в соответствии с этой командой делать. Анализ заключается в сопоставлении полей структуры команды, определяющих ее назначение, с заранее заданным двоичным кодом, записанным в памяти программ микропроцессора. Анализ команды заканчивается когда процессор идентифицирует полученную команду.

После анализа команды, блок начинает ее исполнять. Если была получена команда на генерацию сигнала, микропроцессор читает из оперативного запоминающего устройства фрагмент, подлежащий генерации, и через последовательный порт передает его на цифро-аналоговый преобразователь. Сигнал формируется периодическим повторением заранее вычисленного фрагмента сигнала. Периодически, для проверки работоспособности устройства, поступает команда на подключение выхода генератора ко входу анализатора прямо, минуя анализируемый канал. При обнаружении отсутствия сигнала выдается соответствующее сообщение оператору о неисправности блока измерителя. Далее в зависимости от результатов исполнения команды формируется ответ для ПЭВМ, в котором указывается: была ли команда исполнена или не была или была исполнена не полностью.

После этого блок измерителя переходит в состояние ожидания дальнейших распоряжений от ПЭВМ. И данный цикл повторяется в течение всего времени работы изделия до отключения питания.

На рисунке 1.5.1 изображен общий алгоритм работы блока измерителя.


Рисунок 1.5.1


1.6 Расчет потребляемой устройством мощности


Расчет потребляемой мощности производится суммированием мощностей, потребляемых каждой микросхемой устройства. Расчеты приведены в таблице 1.6.1.


Таблица 1.6.1

Микросхема Потребляемая мощность, Вт Количество Итого, Вт
MAX475 0,00435 2 0,0087
ADM705 0,00125 1 0,00125
QO105BIC 0,2 1 0,2
TMS320C31 0,85 1 0,85
74S161J 0,635 1 0,635
74LS04 0,004 1 0,004
AM27C256 0,0001 1 0,0001
74LS138J 0,032 1 0,032
AS7S128K32 0,66 1 0,66
TL16C550 0,05 1 0,05
ADM242 0,01 1 0,01
CS4390 0,225 1 0,225
74LS194 0,075 1 0,075
AD7112 1 2 2
CS5360 0,375 1 0,375
DIP0,5-1A72 0,120 6 0,72
Итого

5,85

Таким образом, мощность, потребляемая проектируемым устройством, равна 6 Вт.


1.7 Расчет надежности измерителя


Надежность – очень важная техническая характеристика радиоэлектронного устройства. При низкой надежности невозможна эффективная работа данного устройства. Если изделие часто ломается, требует постоянного ремонта, то изготавливать данное устройство нецелесообразно. Данная проблема стоит очень остро в современной радиоэлектронике. Из-за постоянно растущих требований к радиоэлектронному оборудованию, радиоэлектронные приборы являются очень сложными и состоят из множества элементов. С ростом количества используемых в изделии элементов пропорционально снижается надежность данного устройства. Первые ЭВМ, сделанные на огромном количестве транзисторов, большую часть времени ремонтировались, а не работали.

Поскольку работоспособность изделия зависит от огромного множества факторов, учесть все из которых не представляется возможным, надежность изделия определяется вероятностными характеристиками. Вероятность безотказной работы на определенном интервале времени P(To) это вероятность того что, проработав в течение заданного промежутка времени, изделие окажется в неработоспособном состоянии. Для изделий, прошедших период приработки и не достигших периода старения, когда вероятность отказа резко возрастает, вероятность безотказной работы определяется законом Пуассона:


P(To)=e-To


- результирующая интенсивность отказов, To – промежуток времени, на котором рассчитывается вероятность безотказной работы.

Наработка на отказ это математическое ожидание времени безотказной работы. За этот промежуток времени вероятность безотказной работы уменьшается в е раз.

Поскольку проектируемое устройство имеет минимальную структуру, то есть отказ любого элемента приводит к отказу всего устройства, для расчета надежности воспользуюсь средне-групповым методом. В соответствии с данным методом результирующая интенсивность отказов вычисляется по формуле p=( ni I)

i – интенсивность отказов элементов i-ого типа

ni - количество элементов i-ого типа

В представленной ниже таблице приведены данные элементов, встречающихся в схеме:


Интенсивность отказов Таблица 1.7.1

Тип элемента

Число элементов ni,

шт.

Интенсивность отказов (с учетом поправок) i106, час-1

Произведение

nii106

1 Микросхемы 23 4 92
2 Конденсаторы 29 0,05 1,45
3 Резисторы 20 0,2 4,0
4 Кварц 1 16 16
5 Разъемы 13 0,062 0,806
6 Пайки 497 0,01 4,97
7 Резисторы переменные 2 0,26 0,52

Сумма

119,746

Тогда p=( ni I)=119,746

Наработка на отказ Тср=1p= 8351 час


Таким образом, наработка на отказ удовлетворяет техническому заданию.


2 КОНСТРУКТОРСКО-ТЕХНОЛОГИЧЕСКИЙ РАЗДЕЛ


2.1 Патентный поиск

В результате проведения патентного поиска были найдены следующие близкие по техническим решениям и назначению разработки:

1 Система для тестирования и обслуживания звукового оборудования А1.

Производитель: фирма Neutrik.

Описание: Система А1 представляет собой измерительный электроакустический комплекс высшего класса, состоящий из двух блоков - генератора и анализатора. По функциональным возможностям он сравним с работой семи приборов одновременно, а стоить будет гораздо меньше. Комплекс применяется в исследовательских и прикладных целях для измерений и анализа практически любых параметров звукового сигнала: уровня, коэффициента усиления, гармонических, интермодуляционных и перекрестных искажений, частотных и фазовых характеристик, шума и детонаций. Также А1 может работать как осциллограф. Дополнительно устанавливается программный модуль измерителя корреляции. Каждый экземпляр прибора сопровождается сертификатом, в котором указаны его индивидуальные особенности, такие, как уровень собственного шума (как правило, менее 92 дБ) и общий коэффициент искажений (менее 0,0025%).

Технические характеристики:

Максимальное входное напряжение: 300 В (пик), 200 В (RMS)

Минимальное входное напряжение: 1,2 мВ

Входной импеданс: 100 кОм

Диапазон выходного напряжения генератора: 245 мкВ…7,75 В

Максимальное выходное напряжение: 24,5 В (RMS)

Выходной импеданс: менее 0,2 Ом

Частотный диапазон генератора: 20 Гц…40 кГц

Частотный диапазон анализатора: 16 Гц…200 кГц

Напряжение питания: 100…240 В, переключаемое

Потребляемая мощность: 60 Вт

2 Автоматическая измерительная система A2/A2-D

Производитель: фирма Neutrik.

Описание: Система А2/А2-D представляет собой автоматический измерительный комплекс высшего класса. Его функциональные возможности гарантируют выполнение широчайшего спектра задач по тестированию звукового сигнала и оборудования, а также визуального контроля и документирования результатов измерений. Он может применяться в исследовательских или прикладных целях для измерений и анализа практически любых параметров звукового сигнала, таких как уровень сигнала, коэффициент усиления, частотные характеристики, коэффициент гармонических, интермодуляционных и перекрестных искажений, уровень шума и детонации, фазовые и спектральные характеристики. Благодаря многопроцессорной архитектуре и высокому быстродействию центрального процессора A2/A2-D во много раз превосходит другие измерительные приборы по количеству одновременно выполняемых вычислений и достоверности их результатов. Сложные задачи решаются с помощью простого и удобного пользовательского интерфейса. Следуя сложившейся практике, в измерительных целях может использоваться как аналоговый, так и цифровой сигнал.

Технические характеристики:

Аналоговый сигнал

Максимальное входное напряжение: 300 В (пик), 200 В (RMS)

Минимальное входное напряжение: 1,2 мВ

Входной импеданс: 100 кОм, 600 и 200 Ом, переключаемый

Минимальное выходное напряжение: 10 мВ

Максимальное выходное напряжение: 24,5 В (RMS)

Выходной импеданс: менее 15 Ом, 150 Ом, 200 Ом, переключаемый

Частотный диапазон: 10 Гц…100 кГц, ±0,05 дБ в диапазоне 20 Гц…20 кГц

Коэффициент гармонических искажений: менее -94 дБ

Цифровой сигнал

Формат: AES/EBU, IEC958, TosLink

Импеданс: 110 Ом, 75 Ом, переключаемый

Частота дискретизации: 32 кГц, 44,1 кГц, 48 кГц, подстраиваемая в пределах ±1500 ppm

Разрядность: переключаемая, 4…24 бит

Выходное напряжение несущей: 0,15…5 В

Цифро-аналоговое преобразование: 16 бит, 48 кГц

Физические параметры

Напряжение питания: 100…240 В, переключаемое

Потребляемая мощность: 85 Вт

3 Аудиоанализатор компьютерный АК-1

Производитель: Компания НИИР-КОМ

АК-1 обеспечивает создание информационно-измерительных систем аппаратно-студийных комплексов, каналов и трактов звукового вещания и звукового сопровождения телевидения.

Режимы работы:

- генерация периодических измерительных сигналов по выбору;

- генерация последовательности различных измерительных сигналов для автоматического анализа параметров тракта звукового вещания;

- автоматический анализ основных параметров трактов звукового вещания с оценкой их энтропийных отклонений и контролем по уровням допустимых отклонений и брака (измерение до 4-х моно- или 2-х стереотрактов);

- осциллографические измерения формы и спектра сигналов с возможностями изменения их масштаба (электронная лупа);

- статистическая оценка параметров каналов и сохранение результатов в базе данных;

- статистическая оценка динамических звуковых сигналов в процессе передачи программ вещания;

- режим гониометра;

- стандартный компьютерный режим.

Измерительные сигналы обладают следующими основными качественными показателями:

- диапазон частот = от 5 Гц до 20 кГц с шагом перестройки 0,5 Гц;

- точность установки частоты = 10-5 ;

- диапазон установки выходного уровня = от +15 до -45 дБ с точностью не менее 0,05 дБ;

- коэффициент гармоник = не более 0,02%;

- отношение сигнал/шум = не менее 100 дБ;

- программная установка длительности и видов измерительных сигналов.

4ИК-ТЧ измеритель каналов тональной частоты

Производитель: ООО Инруском

Назначение: эксплуатационные измерения каналов ТЧ, образованных в аналоговых и цифровых системах передачи, для использования на предприятиях связи первичных и вторичных сетей, а также потребителями каналов ТЧ.

Предназначен для измерения следующих параметров:

- остаточного затухания;

- частотной характеристики остаточного затухания;

- уровня сигнала;

- уровня невзвешенных и псофометрических шумов (МСЭ-Т О.41);

- защищенности сигнала от псофометрической мощности сопровождающих помех, включая искажения квантования(МСЭ-Т О.132);

- защищености от внятных переходных влияний

Задачи и требования к перечисленным системам не слишком схожи с проекитируемой системой. Также проведению сопоставительного анализа препятствует то, что примененные технические решения и описания принципов работы, данных систем являются коммерческой тайной. Следовательно, проведение сопоставительного анализа не возможно.


2.2 Разработка технического задания


При разработке технического задания необходимо учесть следующие технические требования

Состав изделия и требования к изделию:

1.1. Блок предназначен для генерации электрических сигналов звуковой частоты и выполнения аналого-цифрового преобразования сигналов звуковой частоты поступающих на вход блока измерителя. Блок измерителя соединяется с ПЭВМ, которая осуществляет функции управления и контроля измерений, через стандартный девятиконтактный разъем для КОМ-порта DB9 (интерфейс RS232).

Устройство состоит из цифровой платы измерителя, сетевой вилки, выключателя, провод интерфейса RS232.

1.2 Конструктивные требования.

Габаритные размеры блока – не более 300х300х100

Масса не должна превышать 5 кг.

Класс установки устройства – наземное, стационарное.

Климатическое исполнение – общеклиматическое для суши.

2.Показатели назначения.

2.1Мощность потребления не более 30Вт.

3.Требования надежности.

Устройство относится к восстанавливаемому типу изделий.

Отказом устройства считается несоответствие устройства требованиям технического задания.

Средняя наработка устройства на отказ должна быть не менее 5000 часов

Требования по живучести к внешним воздействиям:

Устройство должно быть устойчиво к воздействию температуры окружающей среды от 0 до +40оС, с относительной влажностью до 95%.

Жесткие требования безотказной работы подразумевают высокую надежность соединений и использование ЭРЭ с низкой вероятностью отказа.

Для уменьшения времени ремонта конструкция должна обеспечивать свободный доступ к внутренним частям, обеспечиваемый стандартными конструкциями корпусов, выпускаемых многими зарубежными фирмами, а также иметь разъемные соединения.

Для увеличения показателя транспортабельности необходимо либо увеличить объем тары, предназначенной для перевозки, либо умеьшить геометрические размеры.

Исходя из анализа технического задания, приступим к разработке изделия.


2.3 Разработка конструкции блока


Техническое задание разрабатывается на основании исходных требований заказчиков, результатов выполнения научных исследований и экспериментальных работ, научного прогнозирования, анализда передовых достижений и технического уровня отечественной и зарубежной техники и технологии, изучение патентной информации.

Согласно ГОСТ 20504-81 в техническом задании устанавливается основное назначение и тактико-технические характеристики, показатели качества и технико-экономические требования к разрабатываемому изделию, а так же специальные требования. Приведены требования к конструкции блока.

Блок измерителя состоит из печатной платы с элементами, экрана, для защиты от внешних электрических наводок и помех, вилки сетевой, блока питания, преобразующего 220В 50Гц в постоянное напряжение 5В 15В и –15В, объединенные в один корпус.

Изделие будет эксплуатироваться в помещениях при температуре от 0 до 40 градусов Цельсия поэтому выбирается корпус соответствующий данным требованиям.

Немецкая фирма Bopla является одним из ведущих производителей различных корпусов для устройств промышленной электроники. В производственной номенклатуре свыше 5000 наименований корпусов из пластика и легких сплавов. Корпуса, произведенные этой фирмой, отличаются легкостью, прочностью и удобством сборки и разборки. Информация по корпусам этой фирмы представлена на интернетовском сайте по адресу “prosoft/catalog/Bopla/1.htm”

Ultramas — модульный конструктив, допускающий установку печатных плат 3U и 6U (стандарт МЭК 297). Выпускаются два варианта, отличающихся глубиной: 199 мм и 259 мм. Дополнительные боковые вставки позволяют легко изменять высоту корпуса. Конструктив может быть использован для реализации как настольных, так и встраиваемых устройств. Для настольного варианта поставляются специальные ножки.

Корпуса Ultrapult разработаны на базе конструктива Ultramas с использованием его элементов. Корпуса отличаются наличием наклонной лицевой панели, позволяющей удобно располагать элементы управления и индикации.

Материал: корпус, боковые вставки и ножки — АВS-пластик, лицевая панель - анодированный алюминий или АВS-пластик.

Цвет: светло-серый (RAL7035)

Степень защиты: глухой корпус — IP40, корпус с вентиляционными прорезями — IP20

Выбранный для реализации изделия корпус Bopla Ultramas UM-626091 имеет габаритные размеры 290,9х259х62,2. Выбранные размеры определяются размерами печатной платы и размерами остальных компонентов, входящих в изделие. Крепление отдельных узлов осуществляется с помощью винтовых соединений. Печатная плата крепится к внутренним отверстиями внутри с помощью винтов, над печатной платой с помощью металлических стоек крепится экран, на отверстия в экране с помощью винтов крепится блок питания. На передней панели крепится сетевой выключатель. На задней панели, с помощью винтов, крепится сетевая вилка. Электрическое соединение блока питания, сетевой вилки, электрического выключателя осуществляется проводным монтажом.


2.4. Выбор и обоснование типа и технологии печатной платы, класса точности, габаритных размеров, материала, толщины и шага координатной сетки


Изучив ТЗ на изделие, в которое входит плата, оценив условия эксплуатации, хранения, транспортирования, выбираю группу жесткости. В зависимости от условий эксплуатации определяется группа жесткости по ОСТ 4.077.000, обусловливающая требования к конструкции платы, материалу основания и проводящего рисунка и необходимость защиты от климатических, механических и других воздействий. Выбираю первую группу жесткости.

Выбрана двусторонняя печатная плата с металлизированными отверстиями. Для ДПП выбираю полуаддитивный метод получения проводящего рисунка (типовой процесс по ОСТ 4.ГО.020.219). Этот метод позволяет получить рисунок с высокой точностью и обеспечить высокую плотность монтажа. Выбираю четвертый класс точности, что позволяет получить высокую плотность монтажа, а следовательно минимизировать размеры печатной платы.

Кроме того, при трассировке печатной платы 4-ого класса точности допускается использование шага координатной сетки 1,25 мм, что позволяет прокладывать поводники между выводами ИМС.

Для выбора размеров печатной платы оценим необходимую площадь занимаемую элементами.


Sпп = Кип*( )

Площадь, занимаемая резисторами: = 340 мм2

Площадь, занимаемая конденсаторами: =1400 мм2

Площадь, занимаемая реле: = 300 мм2

Площадь, занимаемая ИМС: = 15000 мм2

Площадь, занимаемая разъемами: = 1800 мм2


Коэффициент использования печатной платы:


KИП = 3


С учетом этого площадь печатной платы будет равна:


SПП = 3 · 20640= 61920 мм2


По ГОСТ 10317-79 из предпочтительных вариантов выбираем размер 240х260 мм.

Толщину платы выбираем равной 2 мм.

В качестве материала основания выбираем стеклотекстолит фольгированный СФ-1-35 (ГОСТ 10316-78). Выбор обусловлен группой жесткости, условиями технического задания и многослойной технологией печатной платы.


2.5 Размещение и трассировка печатной платы


Размещение на печатной плате электронных компонентов и трассировака проводились в системе сквозного автоматического проектирования OrCad 9.2. Данная система позволяет проектировать устройство от принципиальной схемы до создания печатной платы. При этом создается вся необходимая для изготовления печатного узла документации. Но из-за того , что данная система была разработана американской фирмой, документация создается не в соответствии с российскими ГОСТами, а в соответствии с американскими стандартами. Это единственный недостаток данной системы для российского пользователя. Система очень удобна в использовании, обладаем многими полезными опциями, например, позволяет моделировать цифровые, аналоговые и смешанные схемы. В России же подобные системы не производятся. Система «Компас» похожа на OrCad но она больше ориентирована на разработку механики. С помощью специальной библиотеки можно рисовать там электрические принципиальные схемы в соответствии с российскими ГОСТами, но там нет проектирования печатных плат, моделирования и т.п.


2.6 Расчет параметров проводящего рисунка

Произведём расчет параметров проводящего рисунка с учетом погрешностей его изготовления:

1) Примем шаг координатной сетки равным 1,25 мм.

2) Номинальное значение диаметров монтажных отверстий:


d=dэ+∆dн.о+r, где

dэ= — максимальное значение диаметра вывода навесного элемента;

r=0,3 мм — разность между минимальным значением диаметра отверстия и максимальным диаметром вывода устанавливаемого элемента;

dн.о.=0,10 мм— нижнее предельное отклонение номинального значения диаметра отверстия.

а) для микросхем:

dэ=0,5 мм d=0,9 мм;

б) для конденсаторов:

dэ=0,5 мм d=0,9 мм;

в) для резисторов:

dэ=0,5 мм d=0,9 мм;

г) для реле:

dэ=0,5 мм d=0,9 мм;

д) для кварцев:

dэ=0,5 мм d=0,9 мм;

е) для разъема:

dэ=1 мм d=1,4 мм.

Рассчитанные значения сводятся к предпочтительному ряду размеров монтажных отверстий:

0,7; 0,9; 1,1; 1,3; 1,5 мм.

Номинальное значение диаметров монтажных отверстий для разъема: d=1,5 мм.

3) Определение номинальной ширины проводника:


t=tMD+tНО, где tMD=0,12 мм; tНО=0,05 мм

t=0,12+0,05=0,17 мм


4) Расчет зазора между проводниками:


S=SMД+tВО, где

tВО=0,05 мм — верхнее предельное отклонение ширины проводника; SMД=0,20 мм — минимально допустимое расстояние между соседними элементами;


S=0,2+0,05=0,25 мм.


5) Центры монтажных и переходных отверстий располагаются в узлах координатной сетки. Диаметральное значение позиционного допуска расположения центров отверстий относительно номинального положения узла координатной сетки р=0,08 мм. Диаметральное значение позиционного допуска расположения контактных площадок относительно их номинального положения d=0,2 мм.

6) Диаметр контактной площадки равен:


D=(d+dво)+2bпг+tво+(d2+p2+tно2)1/2,


где dво=0,05 мм; bпг =0,05 мм; tво=tно=0,05 мм; р=0,2 мм; d=0,08 мм


dво+2bпг +tво+(d2+p2+tно2)1/2=0,05+0,05+0,05+(32510-4)1/2=0,37мм

d=0,4 мм → D=0,77 мм.

d=0,9 мм → D=1,27 мм.

d=1,5 мм → D=1,87 мм.


7) Расчет минимального расстояния для прокладки 2х проводника между отверстиями с контактными площадками диаметрами D1, D2:


l=+tn+S(n+1)+l, где n=1; l=0,03 мм

l=(1,321+1,321)/2+0,17+0,25*(1+1)+0,03=2,02 мм.

Расстояние между выводами применяемых микросхем 2,54 мм, поэтому, учитывая результаты расчетов, мы можем производить прокладку проводника между выводами.

Произведём расчет параметров проводящего рисунка с учетом технологических погрешностей получения защитного рисунка:

1) Минимальное значение диаметра металлизированного отверстия:


dminHп, где Нп=2 мм – толщина платы; =0,25;

dmin20,25=0,5 мм.


2) Максимальный диаметр просверленного отверстия:


dсв=dМотв+0,1=0,9+0,1=1 мм.


d=0,1 мм-погрешность диаметра отверстия;


dmax=dсв+d=1+0,1=1,1мм.


3) Погрешность расположения отверстия:


отв=0+б=0,06+0,02=0,08 мм.


4) Минимальный диаметр контактной площадки:


D’min=D’1min+1,5hпм+hр

D’1min=2( bпг ++0+кп),

’кп=ш+э+(п+э)/2=0,05+0,02+(0,025+0,025)/2=0,095 мм;

dmax=0,9 мм;

D’1min=2(0,025+0,9/2+0,05+0,095)=1,24 мм;

D’min=1,24+1,5*0,005+0,02=1,53 мм;

dmax2=1,5 мм → Dmin2=2,13 мм.


5) Минимальный диаметр окна фотошаблона для контактной площадки:


Dшmin= Dmin- hр;=1,24-0,02= 1,22


6) Максимальный диаметр окна фотошаблона для контактной площадки:


Dшmax = Dшmin+ Dш =1,22+0,,03= 1,24 мм;


7) Максимальный диаметр контактной площадки:


Dmax= Dшmax + Э + hр

Dmax1=1,24+0,02+0,02=1,28мм;

Dmax2=2,13+0,02+0,02=2,17 мм.


8) Минимальная ширина проводника:


tпmin=tп1min+1,5hпм+ hр=0,12+1,5*0,005+0,02 =0,21 мм.


9) Минимальная ширина линии на фотошаблоне:


tшmin=tnmin- hг=0,18-0,05=0,13 мм.


10) Максимальная ширина линии на фотошаблоне:


tшmax= tшmin+tш= 0,15+0,045=0,195 мм.

11) Максимальная ширина проводника:


tnmax = tшmax+ hг+ hр+ Э=0,195+0,02+0,02+0,02=0,255 мм.


12) Минимальное расстояние между проводником и контактной площадкой:


S1min=L0- [Dmax/2+кп+tпmax/2+шт]=1,25-(1,38/2+0,095+0,127+0,03)=0,36 мм,


где L0 – расстояние между центрами рассматриваемых элементов.

13) Минимальное расстояние между контактными площадками:


S2min=L0- (Dmax+2кп)=2,5-(2,17+2*0,095)=0,14 мм.


14) Минимальное расстояние между двумя проводниками:


S3min=L0- ( tnmax +2шт)=1,25-(0,255+2*0,03)=0,4 мм.


15) Минимальное расстояние между проводником и контактной площадкой на фотошаблоне:


S4min=L0- (Dшmax/2+кп+tnmax/2+шт+кп)=1,25-(1,38/2+0,095+0,27/2+0,05+0,095)=0,03 мм.


16) Минимальное расстояние между контактными площадками на фотошаблоне:


S5min=L0- ( Dшmax+2кп)=2,5-(2,11+2*0,095)=0,2 мм.

17) Минимальное расстояние между двумя проводниками на фотошаблоне:


S6min=L0- (tшmax+2шт)=1,25-(0,195+2*0,3)=0,455 мм.


Сравнив результаты геометрических расчетов параметров проводящего рисунка с учетом погрешности получения проводящего рисунка и погрешности защитного рисунка, а так же технологических факторов можно сделать заключение о том, что выбор четвертого класса точности был обоснован.


2.7 Расчет проводников по постоянному току


Наиболее важными электрическими свойствами печатных плат по постоянному току является нагрузочная способность проводников по току и сопротивление изоляции.

Помеха по постоянному току возникает за счёт падения напряжения на печатном проводнике и за счёт конечного значения сопротивления изоляции.

1) Падение напряжения на проводнике:


Uп=;


где bф=0,315 мм – ширина проводника; hф=0,035 мм – толщина фольги; l=0,17 м – длина проводника; =0,0175 - удельное сопротивление проводника; I=0,5 А – ток;


Uп==0,132 В,

Uп<Uзпу=0,40,5 В


Необходимое условие (Uп<Uзпу ) запаса помехоустойчивости обеспечено.

2) Для шин питания и земли:

Sпз , где ЕП – номинальное значение напряжения питания; I=0,5А – максимальный потребляемый ток;


Sпз ==0,00765 мм2

Bпз= Sпз/ hф=0,00765/0,035=0,218 мм.


При ширине проводника шин питания и земли больше 0.672 мм, условие будет выполнено.

3) Поверхностное сопротивление изоляции:


RS=,


где l3=1,05*10­-3 м зазор между проводниками; l=0,076 м – наибольшая длина совместного прохождения проводников; 0=51010 Ом – удельное поверхностное сопротивление диэлектрика;


RS==59 МОм.


4) Объемное сопротивление изоляции:


RV=

V=5109 Ом м3 – удельное объёмное сопротивление диэлектрика;

Sп=0,25 мм2 – площадь проекции одного проводника на другой;

hпп=1,5*10-3 м – толщина печатной платы;


RV==30 МОм.


5) Сопротивление изоляции параллельных проводников на поверхности:


RU===19,8 МОм


Для нормального функционирования узла, сопротивление изоляции должно превышать входное сопротивление схемы более чем в 1000 раз (RU>103Rвх). Входное сопротивление цифровых схем оценивается для состояний логического нуля и единицы по максимальному значению:


Rвх0=Uвх0/ Iвх0; Rвх1=Uвх1/ Iвх1;

Rвх1==12,5 кОм;


Очевидно, что условие RU>103Rвх выполняется.


2.8 Расчет проводников по переменному току


При передаче по печатным проводникам высокочастотных или импульсных сигналов, из-за наличия индуктивного сопротивления проводников, взаимной индуктивности и ёмкости, сопротивления утечки между проводниками, сигналы искажаются и появляются перекрёстные помехи.

1) Падение импульсного напряжения на длине проводника в l cм.


UL=Lпо;


Lпо=1,8 ; - погонная индуктивность одиночного проводника; I=20 мА – изменение выходного тока переключения; tИ=5 нс – длительность импульса;


UL=1Б8 =0,0072.


2) Максимальная длина проводника:


lmax<==555 cм.


3) Задержка сигнала при передаче по линии связи:


tз ==,


где r=5 –относительная диэлектрическая проницаемость основания платы; r=1 – относительная магнитная проницаемость платы; 0=0,33 нс/м – погонная задержка сигнала по проводнику в вакууме; l=0,5 м;


tз=0,50,33=0,37 нс.


Задержка такой величины не окажет влияния на качество работы схемы.

4) Взаимная индуктивность и емкость двух проводников:

Ёмкость печатных проводников С и коэффициент взаимоиндуктивности М рассчитывается исходя из толщины проводника W=0,05 мм, его ширины b=0,20мм, длины совместного прохождения l=0,1м, зазора между проводниками δ=1,0510-3 м и типа линии связи. Для параллельных проводников на внешнем слое (Рисунок 2.8.1):


Рисунок 2.8.1


C=1,06rl/lg[2 δ /(W+b)];

С= 1,0650,1/lg[21,0510­-3/(0,0510­-3+0,210­-3)]=0,6 пФ

М=2l(ln)=1,02 мкГн.


5) Между рядом расположенными проводниками существует электрическая связь через сопротивление изоляции RU, взаимную емкость С и индуктивность М, которая приводит к появлению на пассивной линии связи напряжения перекрестной помехи от активной линии. Надежная работа цифровых электронных схем будет обеспечена, если напряжение помехи не превысит помехоустойчивости логических схем:


U=URU+UC+UМ<UЗПУ


Напряжение перекрестной помехи за счет резистивной связи:


;


где R2, R3 – входное и выходное активное сопротивление ИМС; Uвых – значение напряжения на выходе схемы;


В;


Напряжение перекрестной помехи за счет емкостной связи:


,


где t – длительность фронта выходного сигнала возбужденной логической схемы;


В;


Помеха за счет взаимоиндуктивности:


,

B;

,

В.


Очевидно, что значение перекрестной помехи за счет резистивной, емкостной связи и за счет взаимоиндуктивности, намного меньше значения запаса помехоустойчивости U зпу.


2.9 Расчет теплового режима


Исходные данные:

размеры блока: lb1 = 290; lb2 = 260; lb3 = 60 (мм);

размеры нагретой зоны: lз1 = 270; lз2 = 250; lз3 = 50 (мм);

мощность рассеивания блока: Pб = 30 (Вт);

мощность, рассеиваемая ЭРЭ наиболее критичным к перегреву Pк=0,1Вт;

площадь поверхности ЭРЭ наиболее критичного к перегреву:
Sк = 8*10-4 м2;

максимальная температура окружающей среды: Тос = 40С;

количество вентиляционных отверстий и площадь одного отверстия: nво=20; Sво= 4*10-4 м2;

допускаемая температура компонента: Тк доп = 80С.

Расчёт основных характеристик:

1) Площадь поверхности блока:


Sкб=2(l1 l2+( l1+ l2) l3)=2(0,290,26+(0,29+0,26)0,06)=1,166 м2


2) Поверхность нагретой зоны:


SH3=2(l31 l32+( l31+ l32)l33Kзб);

Кзб= (Vпп+ Vк)/Vб - коэффициент заполнения блока;

Vпп=1,24 *10-3 м3; - объём печатных плат;

Vк=0,6 *10-3м3- объём компонентов в узлах;

Vб=4,52 *10-3 м3 – объём блока;

Кзб= (Vпп+ Vк)/Vб=0,4;

Sнз=2(0,270,25+(0,27+0,25)*0,5*0,4)=0,162 м2.


3) Удельная мощность, рассеиваемая блоком:


qК==25,7 Вт/м2.


4) Удельная мощность, рассеиваемая зоной:


qнз= 185,18 Вт/м2.


5) Перегрев блока и нагретой зоны относительно окружающей среды соответственно:


Т1=11С;

T2=18C.


6) Площадь вентиляции:


S∑во=nвоSво=20*4*10-4=0,008 м2.


7) Коэффициент перфорации:


Кпф=0,106.


8) Коэффициент, учитывающий перегрев при наличии вентиляционных отверстий:

Кm=У(КПФ);

Km=0,9.


9) Перегрев поверхности блока с учетом перфорации:


Ткб=0,93КmТ1=0,930,911=9,2 С.


10) Перегрев нагретой зоны с учетом перфорации:


Тнз=КmТ2=0,918=16,2 С.


11) Перегрев воздуха в блоке:


Тсп=0,6Тнз=0,616,2=9,72 С.


12) Критичная величина перегрева НЗ определяется по графику, для заданной вероятности p=0,99:


ΔТкр=φ8(ΔТнз)=45 0С.


13) Компоненты, для которых необходимо вести дополнительный тепловой расчет, определяются по соотношению:


ΔТк.доп=Тк.доп­- Тос< ΔТкр;


Компоненты, для которых справедливо соотношение:


ΔТк.доп=Тк.доп­- Тос > ΔТкр;


в дальнейшем расчёте не участвуют;

ΔТк.доп= 80 - 40=40 0C < ΔТкр=43,5 ­0C,


Необходимо вести дальнейший расчет.

14) Удельная мощность, рассеиваемая компонентом:


qK===119 Вт/м2


15) Перегрев поверхности компонента:


Тк=Тнз(0,75+0,25)=16,2(0,75+0,25)=12,7 С.


16) Перегрев среды, окружающей компонент:


Тск=Тсп(0,75+0,25)=7,6 С.


17) Температура кожуха блока:


Ткб=Тос+Ткб=40+9,2=49,2 С.


18) Температура нагретой зоны:

Тнз= Тос+Тнз=40+16,2=56,2 С.


19) Средняя температура воздуха в блоке:


Тсп= Тос+Тсп=40+9,72=49,72 С.


20) Температура поверхности компонента:

Тк= Тос+Тк=40+12,7=52,7 С.


21) Температура окружающей компонент среды:


Тск= Тос+Тск=40+7,6=47,6 С;

Тк.доп=80С > Тк=47,6 С.


Условие выполняется для всех компонентов, дальнейших расчётов не требуется. Тепловой режим в блоке соблюдается для заданного числа вентиляционных отверстий при естественном воздушном охлаждении.


2.10 Расчет защиты от механических воздействий


Проверочный расчет печатного узла на механическую прочность сводится к оценке вибропрочности.

Исходные данные:

Длина, ширина, толщина платы:


lд=0,26 м; lш=0,24 м; hm=0,002м;


материал печатной платы:


γ=2050 кг/м3 – плотность;

Е=3,02*1010 Н/м2 – модуль упругости;

μm=0,22 – коэффициент Пуассона;

σ02=150*106 Н/м2 – предел прочности;


Масса всех ЭРЭ, устанавливаемых на ПП:


mΣ=0,15 кг

Вариант установки печатной платы - со всеми защемлёнными сторонами;

виброускорение и вибронагрузка:


а=4 м/с2;

kg=;


Дополнительные стягивающие усилия винтового закрепления:


Рn=120 H.


Расчёт параметров:

1) Главный центральный момент инерции:


J==3,4*10-11


2) Низшая собственная частота печатного узла для данного вида крепления:


==3,496 Гц

1046 Гц


Низшая собственная частота fо не попадает в диапазон 10-70 Гц, поэтому дополнительная амортизация не требуется.

3) Напряжение на пластине:


,


где – нагрузка на пластину с учетом стягивания винтами с усилием P;

Кg – виброперегрузка;

G – масса ПУ;

= 5583 Н;

= 40,8*106 Н/м2;

Проверим запас прочности:


=150*106/40,8*106=3,67;


где 02 – условный предел текучести;

т. к. t >1, толщина печатной платы выбрана правильно и печатный узел обладает необходимым запасом прочности.

4) Оценка коэффициента передачи по ускорению:


(х, у)=


а(х, у) и ао – величины виброускорений в точке (х, у) и опорной соответственно:


(х, у)=, где


===9,55 – показатель затухания;

===0,067 – коэффициент расстройки;

K1(x)=K1(y)=1,35 – коэффициент формы колебаний;


(х, у)=1,006;

а(х, у)=а0(х, у)=4g1,006=4,024g.


5) Оценка амплитуды виброперемещения:


SB(x,y)=0(x,y)

0==0,0032 м;

SB=1,0060,0032=0,00322 м.


6) Определим максимальный прогиб печатной платы:


В=SB(x,y) - 0=0,00322 – 0,0032=0,00002 м;


7) Проверим условия вибропрочности:

Для ЭРЭ (по справочнику) максимально допустимое виброускорение составляет 5g, что превышает виброускорение в проектируемом печатном узле.

Для элементов РЭА типа пластин необходимо выполнение условия:

В < доп *l2, где l – стрела прогиба на длине 1м. Допустимая стрела прогиба (доп) для фольгированного стеклотекстолита толщиной 1,5 мм должна быть не более 11 мм.


В =2,0*10-5 < 3,564*10-4 м


Таким образом, условие вибропрочности для печатной платы выполняется.

Для печатных плат с ЭРЭ должно выполняться условие:

В <0,003В, где В – размер стороны параллельно которой расположены элементы;


В =2,0*10-5 м <5,4*10-4 м - условие выполняется.


Вывод:

Условия вибропрочности для данного печатного узла выполняются, поэтому изменения конструкции РЭА и применения специальных амортизаторов не требуется.


2.11 Расчет на действие удара

1) Определяем частоту ударного импульса:

=, где =7·10-3 c – длительность импульса;

=448,799 Гц.

2) Определяем коэффициент передачи при ударе:

для прямоугольного импульса:

Ку =2sin, где


=0,0683 – коэффициент расстройки;


Ку =2sin=2sin=1,67;


для полусинусоидального импульса:


Ку==0,005.


3) Рассчитаем ударное ускорение:

ау =НуКу, где Ну =15 м/с2 - амплитуда ускорения ударного импульса;


ау =151,67=25,05 м/с2.


4) Определим максимальное относительное перемещение:

для полусинуса:


,

Zmax=2,5*10-8 м.


для прямоугольника:


Zmax=5,9*10-7 м.

5) Проверим выполнение условия ударопрочности:

для ЭРЭ ударное ускорение меньше допустимого (по справочнику), т.е.:


ау < аудоп=150 м/с2;


для элементов РЭА типа пластин необходимо выполнение условия:

Zmax < доп *l2,где l – стрела прогиба на длине 1м. Допустимая стрела прогиба (доп) для фольгированного стеклотекстолита толщиной 1,5 мм должна быть не более 11 мм.


Zmax =5,9*10-7 м < 3,564*10-4 м,


таким образом, условие вибропрочности для печатной платы выполняется;

для печатных плат с ЭРЭ должно выполняться условие:

Zmax <0,003В, где В – размер стороны параллельно которой расположены элементы;

Zmax =5,9*10-7 м <5,4*10-4 м - условие выполняется.

Вывод:

Условия ударопрочности для данного печатного узла выполняются, поэтому изменения конструкции РЭА и применения специальных амортизаторов не требуется.


2.12 Оценка уровня качества


Термин "уровень качества продукции", учитывающий все аспекты этого уровня, определяется в ГОСТ 15467–79, в соответствии с которым качество продукции представляется совокупностью свойств продукции и способностью удовлетворять определенным потребностям в зависимости от ее назначения. При оценке уровня качества разработанного устройства необходимо относительное сравнение с другими аналогичными существующими образцами.

При оценке качества изделия пользуются показателями качества – количественными характеристиками одного или нескольких свойств образца. Причем, рекомендуется объединять однородные показатели качества и рассматривать их по следующим группам:

показатели назначения;

показатели надежности;

показатели технологичности;

эргономические показатели;

эстетические показатели;

показатели стандартизации и унификации;

патентно–правовые показатели;

экономические показатели;

показатели безопасности;

показатели экологичности.

Для оценки уровня качества разрабатываемого устройства мы используем лишь некоторые из представленных показателей.


2.12.1 Оценка уровня технологичности конструкции изделия

Произведём оценку технологичности на основе использования частных и комплексного показателей. Состав относительных частных показателей и значения коэффициентов значимости определяется классом, к которому относиться разрабатываемое изделие.

Данное изделие относится к классу электронных блоков, поэтому для него используются следующие показатели:

Коэффициент использования микросхем:

Hмс =23 шт. – общее количество микросхем и микросборок в изделии;

Hэрэ= 79 шт.– общее количество ЭРЭ;


==0,23.


2) Коэффициент автоматизации и механизации монтажа изделия:

Hам =497 шт. – количество монтажных соединений, которые могут быть осуществлены механизированным или автоматизированным способом;

Hм =523 шт. – общее количество монтажных соединений;


==0,95.


3) Коэффициент автоматизации и механизации подготовки ЭРЭ к монтажу:

Hмп эрэ =79 шт. – количество ЭРЭ, подготовка которых к монтажу может быть осуществлена механизированным или автоматизированным способом;


==1.


4) Коэффициент автоматизации и механизации операций контроля и настройки:

Hмкн =2 шт. – количество операций контроля и настройки, которые могут быть осуществлены механизированным или автоматизированным способом;

Hкн =3 шт. – общее количество операций контроля и настройки;


Kмкн===0,66.

5) Коэффициент повторяемости ЭРЭ:

Hт эрэ =15 шт. – общее количество типоразмеров ЭРЭ в изделии;


==0,81.


6) Коэффициент применяемости ЭРЭ:

Hт ор эрэ =5 шт.– количество типоразмеров оригинальных ЭРЭ в изделии;


==0,89.


7) Коэффициент прогрессивности формообразования:

Dпр =4 шт.– количество деталей, полученных прогрессивным методом;

D =8 шт.– общее количество деталей;


==0,5.


8) Комплексный показатель технологичности, определяемый на основе частных:


==0,70


где Ki – рассчитанное значение показателя; i – весовой коэффициент значимости для каждого показателя (табличное значение); S =7– количество относительных частных показателей.

9) Оценим уровень технологичности конструкции:

Ку=К/Кн; Кн=0,5 –для электронных блоков;


Ку=0,70/0,5=1,4.


Вывод:

Данный печатный узел можно считать технологичным, т.к. комплексный показатель технологичности (К=0,70) превышает предельное нормативное значение для соответствующего класса блоков (Кнэ=0,5).


2.12.2 Расчет уровня качества

Произведём оценку уровня качества по следующим группам показателей:

показатели назначения;

показатели надежности;

показатели технологичности;

эргономико-эстетические показатели.

Для каждой из групп рассчитаем свой коэффициент уровня качества:


,


где Di и Вi – значения параметров соответственно разрабатываемого и базового изделий. Поскольку технические решения и принципы функционирования изделий, найденных в процессе патентного поиска не публикуются производителя, из-за того что данные сведения являются коммерческой тайной, в качестве базового будем использовать изделие аналогичное проектируемому, но с примением другой элементной базы. Определим коэффициент уровня качества для показателей назначения :


Таблица 2.12.2.1

Технический уровень и качество продукции

Назначение Численное значение показателя
Базовый Проектир. gi mi gi mi
1 Диапазон генерируемых частот 10 20 2 0,3 0,4
2 Масса, кг 3 1,5 2 0,2 0,48
3 Объем, дм3 5,43 3,744 1,45 0,2 0,46
4 Потребляемая мощность, Вт 40 30 1,33 0,15 0,3
5 Уровень миниатюризации 11,5 26,8 2,33 0,15 0,35

Q1 ==1,839.


Определим коэффициент уровня качества для показателей надёжности:

Используемым показателем надежности является рассчитанное среднее время наработки на отказ:


ТсрБаз=3000 ч; ТсрРаз=8351 ч; m=1;

g=2,78; Q2 =2,78.


Определим коэффициент уровня качества для показателей технологичности:

Для оценки используем комплексные показатели технологичности базового и проектируемого вариантов.


Кбаз=0,5; Кразр=0,70; m=1;


g=1,4; Q3 =1,4.


Определим коэффициент уровня качества для эргономико-эстетических показателей:

Для этого произведём оценку базового и разрабатываемого вариантов изделия по пятибальной шкале. При оценке будем учитывать оригинальность исполнения устройства, удобство при обслуживании в процессе эксплуатации и ремонте, соответствие образцам современной техники. Результаты оценки приведены в таблице 2.12.2.2.


Таблица 2.12.2.2

Эргономико-эстетические показатели
Варианты Значения показателей
Базовый Данный g m g m
4 5 1,25 1 1,25

Q4 =1,25.


Рассчитаем общий уровень качества разрабатываемого изделия:


,


где М1=0,2; М2=0,2; М3=0,3; М4=0,3 – весовые коэффициенты;


Qкомпл=1,8390,2+2,780,2+1,40,3+1,250,3=1,71.


Уровень качества изделия по сравнению с базовым:


S= Q0 -1=1,71 -1=0,71.

Проектируемое изделие не уступает базовому аналогу и соответствует современным требованиям к уровню качества.

Полученные значения показателей надёжности полностью удовлетворяют требованиям ТЗ. Оценка экономических показателей будет рассмотрена в технико–экономическом разделе расчетно-пояснительной записки.


2.13 Разработка технологического процесса сборки блока

Произведём разработку технологического процесса сборки блока. Информационной основой при разработке технологического процесса является типовой технологический процесс. Он разрабатывается для изготовления в конкретных производственных условиях типового представителя группы изделий, обладающих общими конструктивно-технологическими признаками. На основе типовых технологических процессов составляются рабочие технологические процессы.

Соответственно типовому технологическому процессу сборки РЭА (ОСТ 4Г0.054.088) для разрабатываемой конструкции блока можно выделить следующие операции:

1) Подготовка деталей к сборке;

2) Маркировка деталей и установочных элементов;

3) Сборка несущего основания;

4) Установка деталей и элементов на несущее основание;

5) Контроль качества сборки;

6) Подготовка проводов, сборка жгутов и кабелей;

7) Электромонтаж блока;

8) Настройка;

9) Установка закрытий;

10) Контроль правильности функционирования;

11) Маркировка;

14) Упаковка блока в тару.

Содержание и последовательность технологических операций определим на основе анализа типового технологического процесса и операций, обеспечивающих выполнение предъявляемых требований. В результате получаем технологический процесс, состоящий из следующих операций:

1.Комплектовочная - комплектация сборочной единицы материалами, деталями;

2.Контрольная - визуальный контроль сборочных элементов на отсутствие повреждений и соответствие чертежу;

3.Контрольная – контроль печатных плат;

4.Сборочная - установка элементов и узлов блока на несущее основание согласно сборочному чертежу;

5.Подготовительная - нарезка проводов в размер, их зачистка и лужение;

6.Маркировочная - маркировка проводов согласно электромонтажному чертежу;

7.Монтажная - монтаж элементов блока согласно электромонтажному чертежу;

8.Лакокрасочная – влагозащита паяных соединений проводного монтажа.

9.Контрольная – проверка качества электромонтажа;

10.Сборочная – установка печатных узлов в корпус блока;

11.Сборочная - установка панелей и закрытий;

12.Контрольная - контроль работоспособности блока;

13.Маркировочная – маркировка блока;

14.Упаковочная – упаковка блока в тару.

Рабочий технологический процесс сборки блока нацелен на максимальное сокращение количества операций и переходов (учитывая тенденцию к переходу к малооперационной технологии), сокращение их длительности и трудоемкости. Применение контрольных операций позволяет значительно сократить количество брака при сборке блока. Операции по контролю производятся после наиболее ответственных операций и практически не влияют на длительность всего технологического процесса.

Инструмент и типовую тех­нологическую оснастку для сборочных работ выберем в соответствии с ОСТ 4Г0.060.010. - ОСТ 4Г0.060.056.

Операционные каты разработанного технологического процесса сборки блока приведёны в приложении.


3. ТЕХНИКО-ЭКОНОМИЧЕСКИЙ РАЗДЕЛ


3.1 Выбор и обоснование базового варианта


Поскольку информация о технологических и экономических параметрах подобных изделий техники тщательно скрывается (данная информация является коммерческой тайной), в качестве базового варианта необходимо выбрать один из вариантов разрабатываемой системы измерений. В качестве такого варианта примем вариант, в котором используется другая элементная база. В базовом варианте будут использованы ЦАП и АЦП фирмы Analog Device AD1859 и AD1879 соответственно.

Расчет себестоимости базового варианта будем производить параллельно с расчетом себестоимости проектируемого изделия.


3.2 Расчет себестоимости блока измерителя


Расчет себестоимости проектируемого радиоэлектронного изделия осуществляется на конечной стадии проектирования. К этому моменту имеется значительной частью исходных данных, необходимых для точного расчета себестоимости (известны принципиальная схема, элементная база, создана спецификация на покупные изделия, разработаны технологические процессы по некоторым видам работ и деталям). Поэтому для определения себестоимости проектируемого изделия должен быть использован точный метод расчета.

К моменту расчета мы обладаем следующими исходными данными:

-спецификация на покупные изделия

-схема электрическая принципиальная

-элементная база

рабочий технологический процесс

Поэтому для определения себестоимости проектируемого изделия должен быть использован точный метод расчета.

В промышленности применяют различные методы калькулирования (точного расчета):

- прямой

- расчетно-аналитический

- параметрический

- комбинированный

- попередельный

Наибольшее распространение получил расчетно-аналитический метод. Этот метод должен быть использован для расчета себестоимости интерфейсной платы по статьям калькуляции.

По существующей классификации затрат должен быть принят следующий состав статьи калькуляции:

1. Материалы

2. Возвратные отходы (вычитаются)

3. Покупные комплектующие изделия, полуфабрикаты и услуги, кооперирующихся предприятий

4. Основная заработная плата производственных рабочих (включая премии из фонда заработной платы)

5. Дополнительная заработная плата производственных рабочих

6. Отчисления на социальное страхование из заработной платы производственных рабочих

7. Расходы на подготовку и освоение производства

8. Износ инструментов и приспособлений целевого назначения и специальные расходы

9. Расходы на содержание и эксплуатацию оборудования

10. Общепроизводственные расходы

11. Общехозяйственные расходы

12. Прочие производственные расходы

13. Внепроизводственные расходы

Материалы

Затраты на основные материалы, расходуемые на изготовление деталей собственного производства, а также вспомогательные материалы, которые используются в процессе изготовления системы измерений для технологических целей (например формовка, пайка, удаление флюса и т.п.). Сюда же можно включить стоимость изделий общепромышленного назначения. Стоимость материалов рассчитывается прямым путем, исходя из норм расхода и стоимости единицы этих материалов. Результаты расчета стоимости материалов сведены в таблицу 3.2.1.


Таблица 3.2.1

Стоимость материалов

Наименование

Кол.

детал. на изд.

Наименование

материалов

Марка,

типоразм.

ГОСТ


Ед.

изм.

Норма расхода

Цена за ед.

изделия

руб.

Стоимость

матер-в на

изд., руб.

на

деталь

на

изделие

Плата 1.

Стеклотек-

Столит

СФ-2-35 ГОСТ 10316-78 м2 0,0624 0,0624 70 4,37

13 Провод МГТФЛ 0,35 М
2 1,95 3,9

497 паек Припой

ПОС 61

ГОСТ

21930-76

кг
0,06 411 24,68


Канифоль сосновая А ГОСТ 19113-73 кг
0,005 74,1 0,37


Спирт марки А

ГОСТ

17299-71

л
0,025 17,7 0,44


Лак

УР 231

ТУ 10-863-76

кг
0,015 58,5 0,88

200 Краска маркировочная

ТУ

10-1043-79

кг
0,006 11,7 0,07


Бензин

БР 1

ГОСТ 443-76

кг
0,025 2,1 0,06


Кисть №2 ТУ 172849-69 шт
0,25 15 3,75


Марля
м
0,02 8,4 0,17
Итого: 38,59
Итого с учетом транспортно-заготовительных расходов 3%: 39,85

Возвратные отходы

Возвратные отходы включаются в стоимость остатков сырья и материалов, образующихся в процессе превращения исходного материала в готовую продукцию, если они полностью или частично утратили потребительские качества исходного материала и в силу этого не могут использоваться по прямому назначению.

Стоимость возвратных отходов определяется как произведение из количества на установленные по прейскурантам цены. Примем количество отходов 10% от количества исходных материалов. Тогда их стоимость составит 39,85*0,1= 3,99.

Покупные комплектующие, полуфабрикаты и услуги кооперирующих предприятий.

В данную статью включаются затраты на приобретение готовых изделий и полуфабрикатов, требующих дополнительных затрат труда на их обработку или сборку при выпуске продукции.

Затраты на покупные комплектующие изделия и полуфабрикаты рассчитываются прямым путём, исходя из норм их расходов и действующих оптовых цен. В соответствии со спецификацией на проектируемое устройство цифровой обработки сигналов покупные изделия, используемые для его изготовления приведены в табл. 3.2.2.


Таблица 3.2.2

Стоимость покупных комплектующих изделий и полуфабрикатов

Наименование Технич. обозначение и характеристика Норма расхода на изделие, шт. Цена за единицу, руб. Сумма на изделие, руб.
Корпус Bopla 1 1520 1520
Конденсаторы К10 27 3,7 99,9
Конденсаторы К50 2 1,5 3
Резисторы С2-29В 20 3,2 64
Резисторы СПЗ-19а 2 3,7 7,4
Микросхемы

MAX475CPD

ADM705AN

QO105BIC

TMS320C31

74S161J

74LS04

AM27C256

74LS138J

AS7S128K32

TL16C550CFN

ADM242AN

CS4390

74LS194A

AD7112

CS5360

2

1

1

1

1

1

1

1

1

1

1

1

1

2

1

56

32

51,2

1248

12

9

68

10

60

96

64

416

2

320

640

112

32

51,2

1248

12

9

68

10

60

96

64

416

2

640

640

Реле DIP0,51A72 6 2,2 8,8
Разъём

4HEADER

CON3

DB9

1

2

1

3

0,4

4,5

3

0,8

4,5

Кварц РК-379М 1 80 80

Итого: СПИ=5251,6 руб

К данной сумме необходимо добавить транспортно-заготовительные расходы в размере 3,5%

Получаем: 5435,41 руб.

Стоимости материалов и покупных изделий базового варианта приведены в таблицах 3.2.3 и 3.2.4


Таблица 3.2.3

Стоимость материалов

Наименование

Кол.

детал. на изд.

Наимено-

вание

материалов

Марка,

типоразм.

ГОСТ

Ед.

изм.

Норма расхода

Цена за ед.

изделия

руб.

Стоимость

матер-в на

изд., руб.

на

деталь

на

изделие

Плата 1.

Стеклотек-

Столит

СФ-2-35 ГОСТ 10316-78 м2 0,0624 0,0624 70 4,37

13 Провод МГТФЛ 0,35 М
2 1,95 3,9

497 паек Припой

ПОС 61

ГОСТ

21930-76

кг
0,06 411 24,68


Канифоль сосновая А ГОСТ 19113-73 кг
0,005 74,1 0,37


Спирт марки А

ГОСТ

17299-71

л
0,025 17,7 0,44


Лак

УР 231

ТУ 10-863-76

кг
0,015 58,5 0,88

200 Краска маркировочная

ТУ

10-1043-79

кг
0,006 11,7 0,07


Бензин

БР 1

ГОСТ 443-76

кг
0,025 2,1 0,06


Кисть №2 ТУ 172849-69 шт
0,25 15 3,75


Марля
м
0,02 8,4 0,17
Итого: 38,59
Итого с учетом транспортно-заготовительных расходов 3%: 39,85

Возвратные отходы: 39,85*0,1= 3,99.


Таблица 3.2.2

Стоимость покупных комплектующих изделий и полуфабрикатов

Наименование Технич. обозначение и характеристика Норма расхода на изделие, шт. Цена за единицу, руб. Сумма на изделие, руб.
Корпус Bopla 1 1520 1520
Конденсаторы К10 27 3,7 99,9
Конденсаторы К50 2 1,5 3
Резисторы С2-29В 20 3,2 64
Резисторы СПЗ-19а 2 3,7 7,4
Микросхемы

MAX475CPD

ADM705AN

QO105BIC

TMS320C31

74S161J

74LS04

AM27C256

74LS138J

AS7S128K32

TL16C550CFN

ADM242AN

AD1859

74LS194A

AD7112

AD1879

2

1

1

1

1

1

1

1

1

1

1

1

1

2

1

56

32

51,2

1248

12

9

68

10

60

96

64

2560

2

320

1120

112

32

51,2

1248

12

9

68

10

60

96

64

2560

2

640

1120

Реле DIP0,5-1A72 6 2,2 8,8
Разъём

4HEADER

CON3

DB9

1

2

1

3

0,4

4,5

3

0,8

4,5

Кварц РК-379М 1 80 80

Итого: СПИ=7875,6 руб

К данной сумме необходимо добавить транспортно-заготовительные расходы в размере 3,5%

Получаем: 8151,13

Основная заработная плата рабочих

Поскольку в дипломном проекте разрабатываются технологические процессы только на сборочно-монтажные работы, трудоемкость определяется укрупненно путем использования метода удельных весов (структурного).

Пооперационный расчет трудоемкости по сборочно-монтажным работам сведен в таблицы 3.2.5 и 3.2.6


Сборка и монтаж печатного узла Таблица 3.2.5

Операция Норматив времени, мин
Проектируемый вариант Базовый вариант

Комплектовочная

Расконсервация ПП

Формовка выводов

Установка ЭРЭ

Пайка волной припоя

Промывочная

Продувка

Лакокрасочная

Сушильная

Маркировочная

10

1

20

30

0,5

1

5

10

60

30

10

1

20

30

0,5

1

5

10

60

30

Итого 167,5 167,5
Орг.-техн. Обслуживание, отвых, естеств. Надобности(9,6%) 167,5*0.096=16.08 167,5*0.096=16.08
Итого: 183,6 183,6

Сборка блока Таблица 3.2.6

Операция Норматив времени, мин
Проектируемый вариант Базовый вариант

Комплектовочная

Подготовка проводов

Маркировочная

Установка узлов на несущем основании

Установка панелей и закрытий

10

7

15

8

10

10

7

15

8

10

Итого 50 50
Орг.-техн. Обслуживание, отвых, естеств. Надобности(9,6%) 4,8 4,8
Итого: 54,8 54,8

Поскольку базовый вариант отличается от проектируемого изделия только наличием АЦП и ЦАП производства другой фирмы, следовательно заработная плата рабочих в базовом и проектируемом варианте будет одинакова. Используя данные предприятий по подобным изделиям, установим процентные соотношения различных видов работ.

Эти данные приведены в таблице 3.2.7


Таблица 3.2.7

Виды работ Процентное соотношение Трудоемкость определенная по нормативам, нормо-ч Трудоемкость, рассчитанная по процентному соотношению, нормо-ч

Слесарные

Гальваника

Сборочно-монтажные

Сверлильные

Фрезерные

Фотохимические

Регулировочные

2

4

65

5

1

3

20


3,98


0,12

0,25

3,98

0,3

0,06

0,18

1,22

Итого: 100
6,12

Расчет основной заработной платы рабочих сведен в таблицу 3.2.8


Таблица 3.2.8

Виды работ Трудоемкость нормо-ч. Средний разряд работ Тарифная ставка за час работы, р. Зарплата, р.

Слесарные

Гальваника

Сборочно-монтажные

Сверлильные

Фрезерные

Фотохимические

Регулировочные

0,12

0,25

3,98

0,3

0,06

0,18

1,22

3

4

4

3

3

4

5

8,91

8,91

8,91

8,91

8,91

8,91

11,44

1,06

2,22

35,46

2,67

0,53

1,6

13,95

Итого по тарифу:


57,50
Доплаты 20%


11,50
Итого основная зарплата


69,00

Таким образом, основная заработная плата производственных рабочих для базового и проектируемого вариантов составляет 69,00руб. на изделие.

Дополнительная заработная плата

Дополнительная заработная плата устанавливается в пределах 8ми – 10ти процентов от основной.

Для базового и проектируемого изделия она составляет 6,9.

Единый социальный налог

Единый социальный налог составляет 35,6% от основной и дополнительной зарплаты.

Для базового и проектируемого изделия она составляет:


(69,00+6,9*0,356=27,02 руб.


Износ инструментов и приспособлений целевого назначения и специальные расходы

Так как проектируемое изделие (измеритель параметров каналов звуковой частоты) относится к радиоизмерительной аппаратуре. Следовательно направление техники будет: аппаратура радиоизмерительная и дозиметрическая. В данном направлении техники при мелкосерийном производстве расходы на возмещение износа инструментов и приспособлений целевого назначения и специальные расходы составляют 53% от основной зарплаты:

Для базового и проектируемого изделия она составляет:


69,00*0,53= 36,57руб.


Расходы на подготовку и освоение производства

Для единичного производства составляют 60% от основной заработной платы.

Для базового и проектируемого изделия она составляет:


69,00*0,6= 41,4 руб.


Расходы на содержание и эксплуатацию оборудования

Берем 50% от основной заработной платы производственных рабочих.

Для базового и проектируемого изделия она составляет:


69,00*0,5=34,5 руб.


Общепроизводственные расходы

Для радиоизмерительных систем составляют 132% от основной заработной платы производственных рабочих.

Для базового и проектируемого изделия она составляет:


69,00*1,32= 91,8 руб.


Общехозяйственные расходы

Для радиоизмерительных систем составляют 78% от основной заработной платы производственных рабочих.

Для базового и проектируемого изделия она составляет:


69,00*0,78= 53,82 руб.


Прочие производственные расходы

Для радиоизмерительной аппаратуры при единичном производстве составляет 15% от основной заработной платы.

Для базового и проектируемого изделия она составляет:


69,00*0,15= 10,35 руб.


Внепроизводственные расходы

Составляют 7,5% от производственной себестоимости.

Для базового: 5850,61*0,075= 438,8 руб.

Для проектируемого: 8566,33*0,075= 642,47 руб.

Результаты расчета себестоимости сведены в таблицу 3.2.9


Калькуляция себестоимости проектируемого изделия Таблица 3.2.9

Наименование статей калькуляции Затраты
Базовый вариант Проектир. вариант
р % к итогу р % к итогу
Материалы 39,85 0,6361 39,85 0,4339
Возвратные отходы 3,99 0,06369 3,99 0,0434
Покупные комплектующие, полуфабрикаты и услуги 5435,41 86,7716 8151,13 88,7589
Основная заработная плата рабочих 69,00 1,0335 69,00 0,7049
Дополнительная заработная плата 6,9 0,1032 6,9 0,0704
Единый социальный налог 27,02 0,4046 27,02 0,276
Расходы на подготовку и освоение производства 41,4 0,62 41,4 0,4229
Износ инструментов и приспособлений и специальные расходы 36,57 0,5477 36,57 0,3736
Расходы на содержание и эксплуатацию оборудования 34,5 0,5167 34,5 0,3524
Общепроизводственные расходы 91,8 1,3642 91,8 0,9305
Общехозяйственные расходы 53,82 0,806 53,82 0,5497
Прочие производственные расходы 10,35 0,155 10,35 0,106
Итого производственная себестоимость 5850,61 93,0233 8566,33 93,0232
Внепроизводственные расходы 438,8 6,9766 642,47 6,9766
Итого полная себестоимость 6289,41 100 9208,8 100

Оптовая цена проектируемого устройства

Вычисляется по формуле:



где С – себестоимость прибора

R= 40% - рентабельность

Базовый вариант Цо= 12892,33 руб.

Проектируемый вариант Цо= 8805,17 руб.


3.3. Расчет годовых эксплуатационных затрат


Эксплуатационные расходы – это расходы, связанные с эксплуатацией прибора (устройства) в сфере потребления. В состав эксплуатационных расходов включаются:

заработная плата обслуживающего персонала;

амортизация прибора;

затраты на текущий ремонт;

стоимость потребляемой электроэнергии.

Определим заработную плату обслуживающего персонала.

Персонал состоит из специалистов по обслуживанию данного устройства. Заработная плата обслуживающего персонала одинакова для проектируемого и базового изделия:


Сз=[Ч*Кз*Fп*Ти(1+Кп)](1+Кс), где


Ч - число специалистов (примем Ч=1);

Kз – коэффициент занятости специалистами обслуживанием данного устройства, примем Кз=0,9, т.к. данное измерительное устройство во время работы постоянно требует внимания человека.

Fп– годовой фонд времени работы платы.


,где


Dp=240 дней (рабочих) в году;

d=8 – продолжительность работы платы в течении одной смены;

Kсм=1 – коэффициент сменности;

f=0,005 – коэффициент потерь времени на ремонт;

Kи=1 – коэффициент целесообразного использования платы;


Fп=[240*8*1(1-0,005)]*1=1910 час.


Ти=9,4 руб. – часовая заработная плата специалиста по обслуживанию;

Кп=0,2 – коэффициент премии;

Кс=0,356 – коэффициент отчисления на социальные нужды;


Сз=[1*0,9*1910*9,4(1+0,2)](1+0,356)=26298,78 руб.

2) Определим величину амортизационных отчислений.

Величина амортизационных отчислений вычисляется по формуле:


,


где: НР – норма амортизации в процентах, НР=1,7% (по приложению);

Цо – оптовая цена прибора,

kдм – коэффициент, учитывающий затраты на доставку и монтаж прибора, .

Для проектируемого устройства:


Цо=8805,17 руб.;

Ск=8805,17(1+0,1) 0,017=164,65 руб.


Для базового варианта:


Цо=12892,33 руб.;

Ск=241,08 руб.


3) Затраты на текущий ремонт включают стоимость выходящих из строя в течение года радиодеталей и узлов, заработную плату ремонтных рабочих и стоимость материалов, связанных с текущим ремонтом. Принимаются в расчёте 3 % от балансовой стоимости прибора.


; ;


Для проектируемого устройства:


Ср=8805,17(1+0,1) 0,03=290,57 руб.;

Для базового варианта:


Ср=12892,33 (1+0,1) 0,03=425,45 руб.


4) Стоимость потребляемой электроэнергии.


Сэ=МFпCкВт*час;


М=6 Вт – мощность потребления устройства (0,006 кВт);

CкВт=0,8 руб. – стоимость кВт*ч энергии.

Для проектируемого устройства:


Сэ=0,006*1910*0,80=9,17 руб.;


Для базового варианта:


Сэ=0,0072*1910*0,80=11,00 руб.


Результаты произведенных расчётов представлены в таблице 3.3.1.

Таблица 3.3.1

Годовые эксплуатационные расходы потребителя

Наименование затрат Сумма затрат, руб.
Проектируемое изделие Базовый вариант
Зарплата обслуж. персонала 26298,78 26298,78
Амортизационные отчисления 164,65 241,08
Затраты на тех. ремонт 290,57 425,45
Стоимость пот. электроэнергии 9,17 11,00
Итого: 26763,17 26976,31

Годовые эксплуатационные затраты на проектируемое изделие имеют меньшее значение чем эксплуатационные затраты для базового варианта. Большую часть эксплуатационных затрат составляет заработная плата обслуживающего персонала


3.4 Расчет годового экономического эффекта


Решение о целесообразности создания и внедрения проектируемого изделия принимается на основе экономического эффекта, определяемого на годовой объем производства в расчётном году. Годовой экономический эффект от внедрения представляет собой суммарную экономию средств, полученную в сфере производства и эксплуатации. Величина годового экономического эффекта может быть определена следующим образом:



где: З1, З2 – приведённые затраты соответственно по базовому и проектируемому изделию, руб.,,(где: К – удельные капитальные затраты изготовителя при производстве прибора), в данном случае можно затраты приравнять к оптовым ценам;

И1, И2 – эксплуатационные расходы по базовому и проектируемому варианту соответственно;

С – себестоимость прибора, руб.;

Ен – нормативный коэффициент эффективности капитальных вложений, Ен=0,15;

В1, В2 – годовой объём работ соответственно базового и проектируемого приборов;

– коэффициент приведения вариантов в сопоставимый вид по производительности. В нашем случае данный коэффициент равняется 1;

p1, p2 – доли отчислений от балансовой стоимости на полное восстановление соответственно базового и проектируемого устройства, определяются как величины обратные срокам службы в годах,
р1 = 0,2; р2 = 0,2;

А2 – годовой объём выпуска нового прибора в расчётном году. С учетом особенностей применения данного устройства, в условиях единичного производства объем выпуска примем равным 10 шт.


З1=12892,33 руб.;

З2=8805,17 руб.

46961,31 руб.


Таким образом, при годовом объеме выпуска 10 штук экономический эффект от внедрения составит около 46961,31 руб.


3.5.1 Сводная таблица технико-экономических показателей

Технико-экономические показатели Таблица 3.5.1

Наименование показателя Единицы измерения Вариант
Базовый Проектируемый

Диапазон частот

Шаг перестройки

Точность измерения

Потребляемая мощность

Среднее время наработки на отказ

Габариты

Комплексный показатель технологичности

Оптовая цена

Себестоимость изделия

Годовой экономический эффект (на 10 шт.)

Гц

Гц

дБ

Вт


Час.

Мм


Руб.

Руб.


Руб.

20-20000

1

0,1

7,2


8351

290х259х60


0,7

12892

9208

20-20000

1

0,1

7,2


8351

290х259х60


0,7

8805

6289

46961


4 РАЗДЕЛ ОХРАНЫ ТРУДА И ОКРУЖАЮЩЕЙ СРЕДЫ


4.1 Анализ и нормирование опасных и вредных производственных факторов

Анализ опасных и вредных производственных факторов (ОВПФ) производится для выбранного технологического процесса, в данном случае - это процесс сборки и монтажа электронного блока. В ходе данных работ возможны физическое, химическое и психологическое воздействия ОВПФ.

В данном разделе приведены разработанные мероприятия, снижающие ОВПФ до нормативных требований безопасности жизнедеятельности и экологии. Основные ОВПФ для данного технологического процесса приведены в таблице 4.1.1


Таблица 4.1.1

Анализ ОВПФ


Наименование

операции

Тип оборудования Вид ОВПФ Среда загрязнения

ПДКрз

мг/м3

ПДКсс

мг/м3

ПДКмр

мг/м3

Меропр. по

сниж. ОВПФ

Р3 С3
1 Подготовительная

Ванна М3650-2904

АМ4016-0000

Канифоль сосновая

Спирт этиловый

Атмосфера


1000 5 5

Местная вентиляция


Фильтр механический
2 Лужение Установка ГГ-1621 Свинец 0,01 0,0003 -
3 Пайка

Групповой

паяльник

Свинец 0,01 0,0003 -
4 Монтажная

Полуавтомат для

пайки МГТ-401

Свинец 0,01 0,0003 -
5 Удаление остатков флюса

Установка

ОМ34.017

Спирт этиловый 1000 5 5
6 Маркирование Краска МКЭЧ Красочная пыль, бензин, растворитель

5

100

-

1,5

-

5

7 Лакокрасочная

Установка

ГГ-1760

Ацетон 200 0,35 0,35

Наиболее опасным из перечисленных факторов являются пары свинца, образующиеся при пайке. Чтобы снизить концентрацию свинца в воздухе на рабочем месте необходимо снабдить его местным вентиляционным отсосом. Для исключения загрязнения окружающей среды откачиваемым воздухом, содержащим пары свинца, необходимо использовать сменные регенерируемые фильтры, предотвращающие попадание вредных веществ за пределы предприятия в атмосферу.

Кроме того, при несоблюдении правил техники безопасности (ТБ) и технологического процесса, на некоторых операциях может возникнуть дополнительная опасность вредного воздействия:

1) При пайке и лужении возникает опасность теплового ожога и поражения электрическим током. Опасность поражения электрическим током устраняется применением паяльника ПНТ-36-40 с рабочим напряжением 36 вольт. В качестве защиты от химических ОВПФ (см. табл. 4.1.1) необходимо использовать местную вентиляцию. Целесообразной в данном случае является полная автоматизация процесса.

2) Промывка паяных соединений спиртом и покрытие лаком, маркировка и сушка. Для устранения влияния вредных испарений используется вытяжной шкаф типа ШВ2-НЖ и сушильный шкаф типа ШВС-1 с вытяжной вентиляцией.

3) Операция разделки проводов и формирование жгута. На данной операции может использоваться электрообжигалка и вследствие этого появляется опасность теплового ожога, поражения электрическим током и загрязнения атмосферы. Меры защиты: использование щита для защиты рук от ожога, применение заземления источника питания и надежной изоляции электропроводов, вентиляция.

4) Влагозащита проводного монтажа и паяных соединений. ОВПФ - вредные пары лака (УР-23).Мероприятием по защите является использование шкафа ШВ 2 - НЖ.

5) Установка печатных узлов в корпус блока. На данном этапе, а так же на операциях сборки корпуса, возможно травмирование сборочным инструментом и принадлежностями. Для предотвращения этого необходимо использовать исправный инструмент, приспособления и индивидуальные средства защиты (перчатки, спецодежду и т.п.).

6) Проверка правильности функционирования и регулировка блока. ОВПФ - опасность поражения электрическим током. Для предотвращения опасности поражения электрическим током необходимо применять низковольтные источники питания и приборы с гальванической развязкой, а так же заземление и электропровода с надежной изоляцией.

При регулировке блока и проверке правильности функционирования отдельных узлов используется микропроцессорная система на базе ПЭВМ со специализированным программным обеспечением. Вследствие этого возможно влияние на оператора опасных и вредных факторов, связанных с работой на ПЭВМ. ОВПФ, которые могут воздействовать на оператора ПЭВМ, связаны, во-первых, с техническими характеристиками и работой ЭВМ (шум, электромагнитное излучение, разрешающая способность монитора и др.), а также с видом используемой программы. Во-вторых, они связаны с неблагоприятными условиями среды, в которой работает оператор (неправильное освещение, запыленность воздуха и др.).

Одним из важных факторов является электромагнитное излучение системных блоков и мониторов компьютеров. Дисплеи с экраном на жидких кристаллах и подобные им, сейчас еще довольно дороги, поэтому пока в основном используются мониторы с электронно-лучевыми трубками (ЭЛТ).

Электронно-лучевые трубки являются источниками электромагнитных излучений весьма широкого диапазона частот. Порождаемое ЭЛТ низкочастотное, высокочастотное, инфракрасное, видимое световое, ультрафиолетовое и рентгеновское излучения требуют специального анализа и специфических защитных мероприятий. Основными источниками электромагнитных полей в НЧ и ВЧ диапазонах являются:

экран монитора (электростатические поля);

питающие провода и системный блок (частота 50 Гц);

система строчной развертки;

система кадровой развертки.

Предельно допустимые уровни (ПДУ) электрического и магнитного полей приведены в таблице 4.1.2


Таблица 4.1.2

Поле ТСО-95 MPR II (СанПиН № 2.2.2.542-96)
Электростатическое (экран)

Поверхностный потенциал

не более 500 В

Поверхностный потенциал не более 500 В
Е 5 Гц – 2 кГц 2 кГц – 400 кГц 10 В/м* 1 В/м* 25 В/м** 2,5 В/м**
В (Н) 5 Гц – 2 кГц 2 кГц – 400 кГц 250 нТл (200 мА/м)* 25 нТл (20 мА/м)* 250 нТл (200 мА/м)** 25 нТл (20 мА/м)**

* на 30 см от центра экрана, 50 см вокруг монитора;

** на 50 см вокруг монитора


Генераторы строчной и кадровой разверток излучают на гармониках, что позволяет говорить об излучении в диапазонах 0 – 50 Гц и 15 – 380 кГц. Наиболее сильные уровни излучений наблюдаются от верхней и боковых стенок мониторов, причем зона превышения гигиенических стандартов может простираться до 2,5 метров.

Операции сборки корпуса, установки печатных плат в корпус блока, визуального контроля правильности установки печатных узлов и окончательной сборки блока, при соблюдении правил ТБ и технологического процесса (в соответствии с ГОСТ 12.3.002-75 “Процессы производственные”), не должны представлять опасности для персонала и окружающей среды.

Поскольку устройство обладает небольшими массогабаритными показателями и на данном участке производства осуществляется лишь сборка, изготовление и установка печатных узлов, то физические ОВПФ связанные с массой и габаритами можно исключить.

Для уменьшения влияния психофизиологических ОВПФ, необходима правильная комплексная организация режимов работы и отдыха, периодический медицинский контроль, правильная организация рабочих мест и автоматизация опасных и вредных технологических процессов.

Мероприятия, рекомендации и устройства, направленные на устранение или снижение ОВПФ, будут рассмотрены ниже в последующих пунктах раздела.


4.2 Разработка рекомендаций, мероприятий, устройств и систем безопасности жизнедеятельности


4.2.1 Мероприятия по обеспечению безопасности и безвредности объекта проектирования

При производстве любого изделия возникает достаточно большой спектр ОВПФ, зависящий о технологии, организации, применяемых материалов и т.д. Однако, при правильной организации производства и контроле за состоянием окружающей среды количество возникающих ОВПФ уменьшается. Весь технологический процесс должен быть построен в соответствии с ГОСТ 12.3.002-75 «Процессы производственные. Общие требования безопасности».

Провести анализ всех возможных проявлений ОВПФ не представляется возможным в рамках данной работы, поэтому ограничимся рекомендациями по устранению некоторых наиболее опасных на данном производстве ОВПФ. Кроме того, в связи со всё возрастающим использованием ЭВМ в производственных процессах, становится актуальным вопрос о безопасности работ с применением ПЭВМ.

При организации работ на ПЭВМ необходимо учитывать особенность данного процесса. Режимы труда и отдыха при работе с ПЭВМ должны организовываться в зависимости от вида и категории трудовой деятельности.

Виды трудовой деятельности разделяются на три группы:

группа А – работа по считыванию информации с экрана ПЭВМ с предварительным запросом;

группа Б – работа по вводу информации;

группа В – творческая работа в режиме диалога с ЭВМ.

Время регламентированных перерывов в течение рабочий смены следует устанавливать в зависимости от ее продолжительности и категории тяжести (см. табл. 4.2.1.1).


Таблица 4.2.1.1

Категория работы Уровень нагрузки за рабочую смену Суммарное время регламентированных перерывов, мин.

группа А, количество знаков группа Б, количество знаков группа В, час при 8-ми часовой смене при 12-ти часовой смене
I до 20000 до 15000 до 2 30 70
II до 40000 до 30000 до 4 50 90
III до 60000 до 40000 до 6 70 120

Продолжительность непрерывной работы на ПЭВМ без перерыва не должна превышать 2 часов.

На данном предприятии при 8- часовой рабочей смене производятся работы группы В. Суммарное время регламентированных перерывов в работе можно принять равным 70 минутам.

Во время перерывов необходимо для снятия напряжения и усталости применять рекомендованные СанПиН комплексы физических упражнений.

При организации работ необходимо учитывать следующие требования:

1) Площадь на одно рабочее место для взрослых операторов должна составлять не менее 6 кв.м., а объем – не менее 20 куб.м.

2) Схемы размещения рабочих мест должны учитывать расстояния между рабочими столами: в направлении тыла одного монитора и экраном другого должно быть не менее 2 м, между боковыми поверхностями мониторов – не менее 1,2 м.

3) Экран монитора должен находиться от глаз на расстоянии 60 -70 см, но не менее 50 см.

4) При выполнении основной работы во всех помещениях с ПЭВМ уровень шума не должен превышать 50 дБА. В помещениях инженерно-технического персонала уровень шума не должен превышать 60 дБА. На рабочих местах в помещениях с принтерами, АЦПУ и пр. уровень шума не должен превышать 75 дБА.

5) Естественное освещение должно обеспечивать КЕО не ниже 1,2 в зонах с устойчивым снежным покровом, и ни ниже 1,5 на остальной территории.

6) Искусственное освещение должно осуществляться системой общего равномерного освещения. Освещенность на поверхности стола с клавиатурой и рабочими документами должна быть в пределах 300 - 500 лк.

7) Коэффициент пульсации люминесцентных ламп не должен превышать 5 %.

Параметры микроклимата в помещениях с ПЭВМ и ВДТ (видеодисплейными терминалами), а так же эргономические параметры рабочих мест и визуальные эргономические параметры ВДТ, должны соответствовать СанПиН № 2.2.2.542-96.


4.2.2 Организация рабочего места

При обеспечении безопасности производства одну из основных ролей играет организация рабочих мест персонала.

Все операции сборки должны производится на верстаке, разработанном на основе стандартов ГОСТ 122032-78 и ГОСТ 1222061-81, устанавливающих общие эргономические требования к рабочим местам при выполнении работ в положении сидя. Выполнение этих требований, связанных с правильной организацией рабочего места приводит к устранению или снижению вредных психофизиологических факторов.

Рабочее место для выполнения работ сидя организуется при легкой работе, не требующей свободного передвижения работающего.

Конструкцией рабочего места должно быть обеспечено выполнение трудовых операций в пределах зоны досягаемости моторного поля.

Выполнение трудовых операций, которые производятся наиболее часто должно быть обеспечено в пределах зоны легкой досягаемости и оптимальной зоны моторного поля, приведенных на рис.4.2.2.1

Конструкцией производственного оборудования и рабочего времени должно быть обеспечено оптимальное положение работающего, которое достигается регулированием высоты рабочей поверхности и сиденья. В нашем случае - сборочно-монтажных работ высота рабочей поверхности должна составлять 870 мм. В качестве сиденья используется подъемно-поворотный стул с регулируемой по высоте и углу наклона спинкой типа 2-89А-Г.

При работе двумя руками органы управления и рабочий инструмент размещают таким образом, чтобы не было перекрещивания рук. На рабочей поверхности в горизонтальной плоскости они располагаются с учетом следующих требований:

- очень часто используемые и наиболее важные инструменты и органы управления должны быть расположены в зоне 1 на рис.4.2.2.1

часто используемые и менее важные не допускается располагать за пределами зоны 2 рис.4.2.2.1


Рисунок 4.2.2.1 Зоны для выполнения операций и размещения органов управления

- редко используемые инструменты и органы управления не допускается располагать за пределами зоны 3 (рис.4.2.2.1)

1 - Зона для размещения наиболее важных и очень часто используемых органов управления (оптимальная зона моторного поля).

2 - Зона для размещения часто используемых органов управления (зона легкой досягаемости моторного поля).

3 - Зона для размещения редко используемых органов управления (зона досягаемости моторного поля).

Средства отображения информации располагаются в вертикальной плоскости под углом 300 от нормальной линии взгляда и в горизонтальной плоскости под углом 300.

В соответствии с требованиями ГОСТа внутренняя планировка рабочего места сборщика монтажника выглядит следующим образом (рис.4.2.2.2):


Рис.4.2.2.2. 1- собираемое изделие, 2 – отвертка, 3 –пинцет, 4 – скальпель, 5 – плоскогубцы, 6 – паяльник с припоем, 7 – баночка с флюсом и кисточка, 8 – вытяжная вентиляция, 9 – лоток с деталями, 10 – стул.


4.2.3 Расчет защитного заземления

Одним из опасных факторов, которые могут воздействовать на человека, является электрический ток. Число травм, вызванных электрическим током, невелико (составляет 0,1-1% от общего числа несчастных случаев на производстве), но из общего числа смертельных несчастных случаев 10-20% происходит в результате поражения электрическим током. В мире от действия электрического тока погибает ежегодно до 25 тыс. человек. Поэтому вопросам электробезопасности следует уделять большое внимание.

Электрический ток, проходя через организм человека, оказывает механическое, термическое, электролитическое и биологическое действие.

Опасность поражения электрическим током может возникнуть при проведении работ, связанных с использованием промышленных электрических сетей для питания электроприемников и при проведении пусконаладочных работ и обслуживании объектов, функционирование которых связано с высоким напряжением.

При питании электроприемников (электроприемник - любой агрегат, прибор, станок и т. д.) от промышленных электрических сетей причинами электропоражений может явиться случайное прикосновение к токоведущим частям, находящимся под напряжением, или к конструктивным частям электрооборудования, которые могут оказаться под напряжением из-за повреждения изоляции.

При оценке опасности прикосновения человека одновременно к двум точкам электрической цепи принимают что, сопротивление человека электрическому току является чисто активным с величиной этого сопротивления равным 1000 Ом (Rч = 1 кОм).

Защитные меры в электроустановках можно условно разделить на технические средства безопасности, которые применяются непосредственно в электроприемниках и меры безопасности эксплуатации производственных электрических сетей.

К техническим средствам безопасности, применяемых в электроприемниках, относятся системы блокировок, обеспечивающие отключение питания электроприемников если не закрыта опасная зона, концевые выключатели, экраны, предохранители, предельные автоматы, схемы защитного отключения, двойная изоляция и т. п. Конкретные технические решения о защитных мерах в электроприемниках должны приниматься на этапах их проектирования на основе тщательного анализа факторов опасности поражения электрическим током.

Существует много разнообразных технических средств, обеспечивающих безопасность электроприемников.

При эксплуатации электрических производственных сетей из всех средств коллективной защиты наибольшее распространение получили: защитное заземление, защитное зануление и защитное отключение.

Защитное заземление применяется в сетях переменного тока с изолированной нейтралью и с напряжением до 1000 В. Оно заключается в соединении нетоковедущих металлических частей электроустановок с землей. Заземление подключают к электроприемнику посредством заземляющего проводника, поэтому при конструировании оборудования и приборов, которые должны питаться от сетей переменного тока, должны предусматриваться болты, клеммы или винты для заземления. Основным элементом защитного заземления является заземляющее устройство.

Расчет заземляющего устройства

Для расчета заземляющего устройства необходимы следующие данные:

- сопротивление заземляющего устройства Rз, требуемое по нормам ПУЭ;

- удельное сопротивление грунта;

- длина, диаметр и глубина расположения в грунте искусственных заземлителей;

- повышающий коэффициент kп.

В соответствии с ПУЭ сопротивление заземляющего устройства Rз должно быть не более 4 Ом. Для мощности источников электроэнергии до 100 кВА Rз 10 Ом, а при токах замыкания на землю более 500 А Rз 0,5 Ом.

Удельное сопротивление грунта зависит от характера грунта и его влажности. Данные об удельном сопротивлении для некоторых видов грунта и их влажности приведены в табл. 4.2.3.1


Таблица 4.2.3.1

Удельное сопротивление грунта

Вид грунта

Удельное сопротивление грунта , см10-4

Удельное сопротивление грунта при влажности 10-20% к массе грунта , см10-4

Песок 4 – 7 7
Каменистый грунт 1,5 – 4 3
Суглинок 0,4 - 1,5 1,0
Садовая земля 0,2 - 0,6 0,4
Глина 0,08 - 0,7 0,4
Чернозем 0,09 - 5,3 2,0
Торф 0,1 - 0,3 0,2

На практике опытным путем замеряют заземление одиночного заземлителя, а по нему рассчитывают удельное сопротивление грунта.

Для постоянных заземляющих устройств в качестве искусственных заземлителей используют стальные (газопроводные) трубы диаметром 40-60 мм или стержни из уголковой стали, забиваемые вертикально в грунт. Если вместо труб используют заземлители из уголковой стали, то для расчетов применяют так называемый эквивалентный диаметр dэ = 0,95 * b, где b - ширина стороны уголка.

Наиболее часто при устройстве искусственного заземления применяют вертикальные заземлители, которые забивают на расстоянии h = 0,5 - 0,8 м от поверхности земли. На рис. 4.2.3.1 приведена схема установки в земле вертикального одиночного трубчатого заземлителя.

При таком расположении заземлителей удается в течение всего года иметь наиболее устойчивое значение сопротивления заземляющего устройства, чем, например, при расположении в горизонтальном направлении заземлителей.

Диаметр трубы и глубину ее забивки выбирают в зависимости от характера грунта, руководствуясь экономическими соображениями. Влияние длины и глубины цилиндрического заземлителя показано на рис. 4.2.3.2 (грунт песчаный 50%-ной влажности).

Влияние диаметра заземлителя на сопротивление растеканию тока меньше, чем влияние, оказываемое глубиной забивки.

Повышающий коэффициент kп - это коэффициент, учитывающий глубину забивки одиночных заземлителей и влажность грунта, в среднем он может быть принят как kп = 1,5.

По удельному сопротивлению грунта и повышающему коэффициенту kп находится расчетное удельное сопротивление грунта расч = kп , Омсм.

Сопротивление растеканию одиночного заземлителя можно вычислить по формуле


R1 =


где расч - расчетное удельное сопротивление грунта, Омсм; l, d - длина и диаметр трубы одиночного заземлителя, см; t = l/2 + h, см (см. рис. 4.2.3.3); h - глубина заложения трубы.

Приближенное число заземлителей n' = R1 / Rдоп, где Rдоп - нормируемое значение заземляющего устройства.



При определении фактического сопротивления растеканию тока для соединительной полосы между одиночными заземлителями необходимо учитывать коэффициент использования полосы п.с., так как между соединительной полосой и трубами происходит взаимное экранирование.

Одиночные заземлители в групповом заземлителе могут быть расположены в ряд (рис. 4.2.3.3,а) или по контуру, как показано на рис. 4.2.3.3,б.

Коэффициенты использования тр вертикальных стержневых заземлителей, расположенных в ряд или по контуру, можно определить из табл. 4.2.3.2.


Таблица 4.2.3.2

Коэффициент использования тр = f (n, a/l)

Число Отношение расстояний между заземлителями к их длине (а/l)
заземли- Размещение заземлителей
телей, в ряд по контуру
шт. 1 2 3 1 2 3
2 0,85 0,91 0,94


4 0,73 0,83 0,89 0,69 0,78 0,85
6 0,65 0,77 0,85 0,61 0,73 0,80
10 0,59 0,74 0,81 0,55 0,68 0,76
20 0,48 0,67 0,76 0,42 0,63 0,71
40


0,41 0,58 0,66
60


0,35 0,55 0,64
100


0,30 0,52 0,62

В табл. 4.2.3.3 приведены значения коэффициентов использования п полос связи горизонтального полосового заземлителя, соединяющего вертикальные стержневые заземлители.


Таблица 4.2.3.3

Коэффициент использования п

Отношение расстояний Число стержневых заземлителей n, шт.
Между 2 4 6 10 20 40 60 100
заземлителями к их длине Заземлители размещены в ряд
1 0,85 0,77 0,72 0,62 0,42
2 0,94 0,89 0,84 0,75 0,56
3 0,96 0,92 0,88 0,82 0,68

Заземлители размещены по контуру
1
0,45 0,40 0,34 0,27 0,22 0,20 0,19
2
0,55 0,48 0,40 0,32 0,29 0,27 0,23
3
0,70 0,64 0,56 0,45 0,39 0,36 0,33

Для нахождения коэффициентов использования труб предварительно задаются расположением труб в групповом заземлении (в ряд или по контуру), а далее принимают расстояние между трубами. При небольшом количестве труб (менее пяти) они располагаются в ряд, при большом - по контуру. Расстояние между трубами выбирают из соотношения 1 а 3.

По приближенному числу заземлителей n' по табл. 4.2.3.3 определяют коэффициент использования труб. После этого находим число труб n = n'/тр с учетом найденного коэффициента использования n = n'/тр. Затем уточняем коэффициент использования труб с учетом найденного количества труб n и определяем сопротивление растеканию тока труб группового заземлителя:


Rтр =


где a - расстояние между заземлителями.

Сопротивление растеканию тока одиночной полосы связи (рис. 4.7) Rо.п. (в Омах) определяется по формуле


Rо.п. =


lп = 1,05аn, где lп - длина полосы связи, см; h - расстояние от поверхности земли до полосы связи, см; b - ширина полосы связи, см.

Сечение полосы связи должно быть не менее 100-120 мм2, из этих соображений выбирается ее толщина и ширина.

В табл. 4.2.3.1 определяем коэффициент использования полосы связи п и вычисляем сопротивление растеканию тока полосы связи Rп с учетом найденного коэффициента использования Rп = Rо.п./п, Ом.

Общее сопротивление растеканию тока заземляющего устройства


Rз =


должно быть не более установленной нормы, в противном случае увеличивается число заземлителей и расчет повторяется.



Произведем расчет для нашего случая:

Исходные данные:

заземлители размещены по контуру в два ряда;

сопротивление заземляющего устройства Rз 4Ом;

размеры одиночного заземлителя (трубы) l = 2м; dнар = 5 см;

расстояние между трубами а = 2 м; глубина заложения труб h = 0,8м;

размер соединительной полосы связи 254 мм;

грунт - суглинок; повышающий коэффициент kп = 1,5.

Расчет:

Определяется расчетное сопротивление грунта: для суглинка =1,0104 Омсм.


расч = kп = 1,5*1,0*104 =15000 Омсм.


Определяется сопротивление растеканию тока одиночного трубчатого вертикального заземлителя:


R1 = =

= Ом.


Ориентировочное число заземлителей (труб) без учета коэффициента использования:


n’ =

По табл. 4.2.3.2 определяется коэффициент использования для трубы: тр=0,68.

Число труб в грунтовом заземлителе с учетом коэффициента использования:


n =


Уточняется коэффициент использования тр (см. табл. 4.2.3.2) для 21 трубы: тр = 0,63.

Сопротивление растеканию всех труб:


Rтр = Ом.


Длина полосы связи, объединяющей трубы в один групповой заземлитель: lп = 1,05аn = 1,05*200*21 =4410 см, где а = l = 200 см (по условию).

Определяется сопротивление растеканию тока одиночной полосы связи:


Rо.п. = Ом


где b = 2,5 см, h = 80 см - расстояние от поверхности земли до полосы связи


Rо.п. = Ом.


По табл. 4.2.3.1 находится коэффициент использования полосы связи (заземлители расположены по контуру, а / l = 1 и n 20): п = 0,32.

Сопротивление растеканию тока полосы связи, объединяющей все трубы, с учетом коэффициента использования полосы связи:


Rп = Ом.


Общее сопротивление заземляющего устройства:


Rз = = Ом,


что удовлетворяет поставленному условию: 3,491 < 4 Ом.


4.3 Обеспечение экологической безопасности

В процессе производства РЭА возможно загрязнение окружающей среды. Это связано с особенностью технологических процессов. При производстве используется различные химические вещества, поэтому их поступление в окружающую среду может вызвать негативные последствия.

4.3.1 Защита атмосферы

Наиболее эффективным направлением уменьшения загрязнения атмосферы является создание безотходных технологических процессов, предусматривающие, например, внедрение замкнутых газообразных потоков. Однако до настоящего времени основным средством предотвращения вредных выбросов остается разработка и внедрение эффективных систем очистки газов. При этом под очисткой понимают отделение от газов или превращение в безвредное состояние загрязняющего вещества, поступающего от промышленного источника.

Для обезвреживания аэрозолей используют сухие, мокрые и электрические методы. В основе работы сухих аппаратов лежат гравитационные, инерционные и центробежные механизмы осаждения или фильтрационные механизмы.

В качестве примера приведем фильтр, который может использоваться на данном предприятии, для очистки удаляемого воздуха (рис.4.3.1.1).


Рис. 4.3.1.1 Фильтр очистки удаляемого воздуха.


Каркас

Фильтрующий элемент

Ролик

Барабан


Таблица 4.3.1.1

Характеристика фильтра

Пылеёмкость 300 г/м3
Эффективность очистки 0,8
Сопротивление 40-200 Па

В мокрых пылеуловителях осуществляется контакт запыленных газов с жидкостью. При этом осаждение происходит на капли, на поверхность газовых пузырей или на пленку жидкости.

В электрофильтрах отделение заряженных частиц аэрозоля происходит на осадительных электродах.

Абсорбционные методы очистки отходящих газов подразделяют по следующим признакам:

по абсорбируемому компоненту;

по типу применения абсорбента;

по использованию абсорбента:

а) с регенерацией и возвращением его в цикл;

б) без регенерации.

по использованию улавливаемых компонентов:

а) с рекуперацией;

б) без рекуперации.

по типу рекуперируемого продукта;

по организации процесса:

а) периодические;

б) непрерывные.

по конструктивным типам абсорбционной аппаратуры.

Для физической абсорбции на практике применяют воду, органические растворители, не вступающие в реакцию с извлекаемым газом, и водные растворы этих веществ.

При хемосорбции в качестве абсорбента используют водные растворы солей и щелочей, органические вещества и водные суспензии различных веществ.

Абсорбционные методы очистки газов используют для удаления из них газообразных и парообразных примесей. Методы основаны на поглащении примесей пористыми телами-абсорбентами. Процессы очистки проводят в периодических или непрерывных абсорбентах. Достоинством методов является высокая степень очистки, а недостатком - невозможность очистки запыленных газов.

Каталические методы очистки основаны на химических приращениях токсичных компонентов в нетоксичные на поверхности твердых катализаторов. Очистки подвергаются газы, не содержащие пыли и катализаторных ядов. Методы используются для очистки газов от оксидов азота, серы, углерода и от органических примесей. Их проводят в реакторах различной конструкции.

В рекуперационной технике наряду с другими методами для улавливания паров летучих растворителей используют методы конденсации и компримирования.

В основе метода конденсации лежит явление уменьшения давления насыщенного пара растворителя при понижении температуры. Смесь паров растворителя с воздухом предварительно охлаждают в теплообменнике, а затем конденсируют. Достоинством метода является простота аппаратурного оформления и эксплуатации рекуперационной установки. Однако проведения процесса очистки паровоздушных смесей методом конденсации сильно осложнено, поскольку содержание паров летучих растворителей в этих смесях обычно превышает нижний предел их взрываемости. К недостаткам метода относятся также высокие расходы холодильного агента, электроэнергии и низкий процент конденсации паров растворителей.

Метод компримирования базируется на том же явлении, что и конденсация, но применительно к парам растворителей, находящихся под избыточным давлением. Однако метод компримирования более сложен в аппаратурном оформлении, так как в схеме улавливания паров растворителя необходим компримирующий агрегат. Кроме того, он сохраняет все недостатки, присущие методу конденсации и не обеспечивает возможность улавливания паров летучих растворителей при их низкой концентрации.

Термические методы применяются для обезвреживания газов от легко окисляемых токсичных, а также дурнопахнующих примесей. Данные методы основаны на сжигании горючих примесей в топках печей или факельных горелках. Преимуществом методов является простота аппаратуры и универсальность использования, а недостатками - дополнительный расход топлива при сжигании низко концентрированных газов и необходимость дополнительной абсорбционной очистки газов после сжигания.

Следует отметить, что сложный химический состав выбросов и высокие концентрации токсичных компонентов заранее предопределяют многоступенчатые схемы очистки, представляющие собой комбинацию разных методов.

Вид и состав необходимых для применения на предприятиях систем очистки зависит, прежде всего, от специфики и объёма работ.

4.3.2 Защита гидросферы

Воду, которая используется в промышленности, можно подразделить на охлаждающую, технологическую и энергетическую.

Вода часто служит для охлаждения жидких и газообразных продуктов в теплообменных аппаратах. В этом случае, при исправности теплообменных аппаратов, она не загрязняется, а лишь нагревается.

Технологическую воду подразделяют на средообразующую, промывающую и реакционную.

Средообразующую воду используют для растворения и образование пульп, при обогащении и переработке руд, гидротранспорте продуктов и отходов производства.

Промывающую воду применяют для промывки газообразных, жидких и твердых продуктов и изделий.

Реакционную воду используют в составе реагентов.

Технологическая вода непосредственно контактирует с продуктами и изделиями.

Энергетическая вода потребляется для получения пара и нагревания оборудования, помещений, продуктов и т.п.

Наиболее перспективный путь уменьшения потребления свежей воды - это создание оборотных и замкнутых систем водоснабжения.

При оборотном водоснабжении следует предусмотреть необходимую очистку сточной воды, охлаждение оборотной воды, обработку и повторное использование сточной воды.

Оборотную воду в основном используют в теплообменной аппаратуре для отведения избыточного тепла. Она многократно нагревается до 40-450С и охлаждается в вентилируемых градирнях или брызгательных бассейнах. Значительная часть ее теряется в результате брызгоуноса и испарения. Кроме того, из-за неисправностей и не плотностей теплообменной аппаратуры она загрязняется до определенного предела.

Промышленные сточные воды представляют собой жидкие отходы, которые возникают при добыче и переработке органического и неорганического сырья. В технологических процессах источниками сточных вод является:

воды, образующие при протекании химических реакций;

воды, находящиеся в виде свободной и связанной влаги в сырье и исходных продуктах;

промывочные воды после промывки сырья, продуктов и оборудования;

маточные водные растворы;

водные экстракты и абсорбенты;

воды охлаждения;

другие сточные воды.

Имеется несколько путей уменьшения количества загрязненных сточных вод:

разработка и внедрение безводных технологических процессов;

усовершенствование существующих процессов;

разработка и внедрение совершенного оборудования;

внедрение аппаратов воздушного охлаждения;

повторное использование очищенных сточных вод в оборотных и замкнутых системах.

Основным направлением уменьшения сброса сточных вод и загрязнения ими водоемов является создание замкнутых систем водного хозяйства.

Под замкнутой системой водного хозяйства промышленного предприятия понимается система, в которой вода используется в производстве многократно без очистки или после соответствующей обработки, исключающей образование каких либо отходов и сброс сточных вод в водоем.

Необходимость создания замкнутой системы производственного водоснабжения обусловлено:

дефицитом воды;

исчерпанием ассимилирующей разбавляющей и самоочищающей способности водного объекта, принимающего сточные воды;

экономическими преимуществами перед очисткой сточных вод до требований, предъявляемых водо-охранным контролем.

Таким образом, организация замкнутой системы целесообразна, когда затраты на рекуперацию воды и веществ, выделенных из сточной воды и переработанных до товарного продукта или вторичного сырья, ниже суммарных затрат на водоподготовку и очистку сточной воды до показателей, позволяющих сбрасывать ее в водные объекты без загрязненных последствий.

Указанные методы очистки подразделяются на рекуперационные и деструктивные.

Рекуперационные методы предусматривают извлечение из сточных вод и дальнейшую переработку всех ценных веществ.

В деструктивных методах вещества, загрязняющие воды, подвергаются разрушению путем окисления или восстановления. Продукты разрушения удаляются из воды в виде газов или осадков.

Выбор метода очистки и конструктивное оформление процесса производятся с учетом следующих факторов:

санитарные и технологических требований предъявляемых к качеству очищенных сточных вод с учетом их дальнейшего использования;

количества сточных вод;

наличия у предприятия необходимых для процесса обезвреживания энергетических и материальных ресурсов, а также необходимой площади для сооружения очистных установок;

эффективности процесса обезвреживания.

На данном предприятии вода используется в основном для хозяйственных нужд и в системе водяного отопления. Поэтому для её очистки можно использовать общие очистительные системы, либо применять дополнительные отстойники и фильтры. 4.4 Пожарная безопасность

4.4.1 Пожароопасность на предприятиях

ГОСТ 12.1.004-91 требует обеспечения пожарной безопасности системами предотвращения пожара и пожарной безопасности. Согласно ГОСТ пожарная безопасность - состояние объекта, при котором исключается возможность пожара, а в случае его возникновения, предотвращается воздействие на людей опасных факторов пожара и обеспечивается защита материальных ценностей.

Причины пожаров в самом общем виде могут быть отнесены к причинам электрического (короткие замыкания, перегрузки, искрения и электрические дуги, статическое электричество, разряды атмосферного электричества) и неэлектрического характера (неправильное устройство и эксплуатация отопительных систем, неисправности оборудования, нарушения технологических процессов (например, нарушение герметизации оборудования), неосторожное обращение с огнем, неисправность вентиляционных систем, самовозгорание веществ). Причиной пожаров в основном является нарушение технологических процессов (очистки, обезжиривания, сушки, окраски).

Для оценки пожаро - и взрывоопасности производств необходимо знать показатели пожаро - и взрывоопасности веществ, используемых в производственных процессах.

Характеристики пожаро - и взрывоопасности некоторых веществ приведены в таблице 4.4.1.1


Таблица 4.4.1.1

Вещество

Горючесть,

воспламеняемость,

взрывоопасность

Твсп, 0С

Пределы

воспламенения,%

Тсам, 0С



НВП ВПВ
Аммиак ГГ - 15 28 650
Ацетилен ВВ - 2,5 100 335
Ацетон ЛВПС 18 2,2 13 465
Водород ГГ - 4 75 510

Масло

трансформаторное

ГПС 147 - - 270
Этиловый спирт ЛВПС 13 3,6 19 404

По взрывоопасной и пожарной опасности, в соответствии со СНиП2.05 02-85 «Производственные здания» и НПБ-105-95, производственные помещения делятся на пять категорий, обозначаемые буквами А, Б, В1-В4, Г, Д.

В соответствии с этой классификацией процесс сборки и монтажа разработанного устройства относятся к категории В1.

К категории В1 относятся помещения, в которых находятся (обращаются) горючие и трудногорючие жидкости, твердые горючие (в том числе пыли и волокна) и трудногорючие вещества и материалы, а также вещества и материалы, способные при взаимодействии с водой, кислородом воздуха или друг с другом только гореть, при условии, что помещения, в которых они находятся или обращаются, не относятся к категории А и Б.


4.4.2 Мероприятия при пожарной профилактике, средства защиты и тушения пожаров

Мероприятия по пожарной профилактике, которые необходимо проводить на предприятиях разделяются на:

- организационные (правильная эксплуатация машин и транспорта, правильное содержание зданий и территории, противопожарный инструктаж рабочих и служащих);

- технические (соблюдение противопожарных норм и правил при проектировании зданий; устройстве электроснабжения,вентиляции, отопления, освещения; правильное размещение оборудования);

- режимные (запрещение курения в неустановленных местах, производства сварочных и др. огневых работ в пожароопасных помещениях);

- эксплуатационные (профилактические осмотры, ремонты и испытания технологического оборудования).

Пожарная безопасность должна обеспечиваться системой предотвращения пожара и системой пожарной защиты.

Предотвращение пожара должно достигаться предотвращением возможности образования и воспламенения горючей среды.

Пожарная защита должна обеспечиваться (ГОСТ 12.1.004-85):

- ограничением количества горючих веществ (в т.ч. регламентацией противопожарных разрывов и защитных зон, периодической очистки помещений от отложений пыли и пр., выносом пожароопасного оборудования на открытые площадки и др.):

- максимально возможным применением трудногорючих и негорючих веществ;

- изоляцией горючей среды (применением герметизированного оборудования, устройством изолированных отсеков, укрытий и др.);

- предотвращением возникновения пожара за пределы очага (устройством противопожарных преград - стен, занавесов; устройством аварийного отключения аппаратов, применением огнепреграждающих устройств - клапанов, заслонок);

- применением средств пожаротушения;

- эвакуацией людей (обеспечить беспрепятственное и безопасное движение людей по путям эвакуации);

- применением СИЗ и коллективной защиты (если эвакуация людей нецелесообразна);

- системой противодымной защиты;

- применением средств пожарной сигнализации и средств извещения о пожаре;

- организацией пожарной охраны объекта.

Существую требования к взрывозащите (ГОСТ 12.1.010-85), которые также должны быть должны быть обеспечены при наличии опасных факторов:

Основные методы (принципы) тушения пожаров: изоляция очага горения от воздуха, охлаждение и разбавление среды, химическое торможение (ингибирование), механический срыв пламени струей воды и создание специальных условий огнепреграждения.

К основным средствам тушения пожара относятся: вода и водяной пар; инертные газы, углекислый газ, химическая и воздушно-механическая пены, порошковые составы, песок, асбестовые и пр. покрывала и др.

Все виды пожарной техники, предназначенной для защиты объектов, подразделяются на следующие группы (ГОСТ 12.4.009-75):

- пожарные машины (автомобили и мотопомпы);

- установки пожаротушения;

- огнетушители;

- средства пожарной и охрано-пожарной сигнализации;

- пожарные спасательные устройства;

- пожарный ручной инструмент;

- пожарный инвентарь.

Предприятие должно быть оснащено тем или иным видом пожарной техники в соответствии с нормами.

Для ликвидации небольших загораний на предприятиях используют первичные средства пожаротушения:

пожарные стволы, действующие от внутреннего противопожарного водопровода, пожарных кранов (ПК).

ПК, оборудованные пожарными рукавами длиной 10-20 м и пожарными стволами;

- огнетушители;

- асбестовые одеяла;

- пожарный инвентарь.

4.4.3 Выбор первичных средств пожаротушения

В соответствии с НПБ-105-95 для определенной группы пожароопасности выбирают следующие типы первичных средств пожаротушения:

углекислотный огнетушитель ОУ-2(ОУ-5, ОУ-8) –1 шт.

огнетушитель химический пенный ОХП-10 (ОВХП-1) –4шт.

бочка с водой вместимостью не менее 0,2м3 и ведро –2шт (Бочки с водой устанавливаются в помещениях при отсутствии внутреннего пожарного водопровода).

Помимо выше перечисленных средств в местах, определенных пожарной охраной, должны быть размещены пожарные пункты со следующим набором пожарного оборудования:

топоров –2 шт.

ломов –2шт.

багров железных –2шт.

огнетушитель ОХП-10 –2 шт.

ведер –2шт.

4.4.4 Расчет противопожарного водоснабжения

1) Расчет расхода воды

Общий пожарный расход воды складывается из расхода на наружное и внутреннее пожаротушение. В качестве водопровода используется объединённый водопровод, который подаёт воду на хозяйственные и производственные нужды цеха. Объём цеха, в котором осуществляется сборка и монтаж блока составляет 25x50x10 м и равен 1250 м2. В соответствии с действующими нормативами расход воды на наружное пожаротушение на один пожар составляет при данной площади цеха и категории производства -10л/с. Площадь предприятия < 1.5 км2 поэтому принятое число одновременных пожаров - один. Время тушения пожара - 3 часа. Нормативная скорость воды в трубопроводах, подающих воду на производственные и хозяйственные нужды составляет 0.7-1.5 м/с. При подаче воды для тушения пожаров скорость может быть увеличена до 2.2 м/с. Расход воды на внутреннее пожаротушение определяется как

Q=FV;

где V - скорость движения воды, м/с; F =πd2/4 - площадь сечения трубопровода, м2.

Расчётный расход воды на внутреннее пожаротушение для данного здания составляет 10 л/с, максимальный расход воды на хозяйственные и производственные нужды - 15 л/с, расчётный расход воды на наружное пожаротушение - 10 л/с.

Таким образом, суммарный расход воды равен: ΣQ=35 л/с;

Требуемая площадь сечения трубопровода:


F=Q/V=(0.010+0.015+0.010)/2.2=0.016м2


Тогда требуемый диаметр трубопровода: D=0.14м.

2) Расчёт ёмкости пожарного водоёма

На случай аварии пожарного водопровода на каждом защищаемом объекте должен быть сборный резервуар или пожарный водоём с неприкосновенным запасом воды. Пожарные водоёмы размещают так, чтобы расстояние от них до зданий было не более 200 м при наличии автонасосов и 100-150 м при наличии мотопомп.

Ёмкость пожарного водоёма в соответствии с [5] может быть определена по формуле:

Q= n·gc·τ·3600/1000,

где Q-объём водоёма, м3; n – расчетное одновременное число пожаров, шт.; gc- суммарный расход воды л/с, складывающийся из расхода на наружное и внутреннее пожаротушение; τ - время тушения пожара - 3 часа.

Q= 1·20·3·3600/1000=216 м3.

4.5. Мероприятия по обеспечению продолжения производства в чрезвычайных ситуациях


Под чрезвычайной ситуацией в данном случае понимают нарушение связей с заводами-поставщиками, перебои в системе снабжения материалами или выход из строя некоторого вида оборудования.

Все детали и элементы, входящие в состав устройства, поставляются на завод-изготовитель поставщиками. На заводе производится только сборка и монтаж печатных узлов и блоков.

Для обеспечения бесперебойного снабжения предприятия всем необходимым для выпуска продукции необходимо рассмотрение возможности проведения следующих действий:

замены заводов-поставщиков в странах дальнего и ближнего зарубежья на отечественные заводы, производящие аналогичную продукцию;

замены дальних поставщиков на ближних с расчетом возможности поставок автотранспортом при выходе из строя железнодорожных связей;

создания крупных баз для снабжения предприятий всем необходимым;

создания гарантийных запасов сырья не меньше, чем на 30 суток.


Таблица 4.5.1

Комплектующие элементы

Наименование комплектующих элементов Стандартные Типовые
Микросхемы +
Конденсаторы +
Резисторы +
Разъемы +

Для анализа зависимости производства изделия от заводов-поставщиков составляется “Ведомость распределения комплектующих элементов устройства по месту изготовления и стандартизации” (таблица 4.5.1). Большинство элементов, используемых в производстве, изготовляется на других предприятиях.

Изготовление радиокомпонентов на данном предприятии не представляется возможным, так как их производство требует подготовки специалистов и уникального оборудования. Поэтому на случай нарушения связей необходимо иметь в наличии запасы комплектующих. Нормы запасов комплектующих элементов на один месяц приведены в табл. 4.5.2


Таблица 4.5.2

Нормы запасов комплектующих элементов

Наименование элемента Нормы расхода (шт.)
На 1 единицу На месяц работы
Кварц РК-379М 1 10
MAX475CPD 2 20
ADM705AN 1 10
QO105BIC 1 10
TMS320C31 1 10
74LS161J 1 10
74LS04 1 10
AM27C256 1 10
74LS138J 1 10
AS7S128K32 1 10
TL16C550CFN 1 10
ADM242AN 1 10
CS4390 1 10
74LS194A 1 10
AD7112BR 2 20
CS5360 1 10
Конденсаторы К10-17-1-б-М47-22 пФ 2 20
Конденсаторы К10-43а-МПО-150 пФ 2 20
Конденсаторы К10-43а-МПО-1000 пФ 2 20
Конденсаторы К10-171б-Н90-0,1 мкФ 21 210
Конденсаторы К50-35-35В-47мкФ 2
Резисторы С2-23-0,125-1кОм5% 1 10
Резисторы С2-29В-0,125-3,57кОм0.1% 2 20
Резисторы С2-29В-0,125-8,4кОм0.1% 2 20
Резисторы С2-29В-0,125-9,1кОм0.1% 2 20
Резисторы С2-29В-0,125-10кОм0.1% 2 20
Резисторы С2-29В-0,125-20кОм0.1% 2 20
Резисторы С2-29В-0,125-24,9кОм0.1% 9 90
Резисторы СПЗ-19а 2 20
Разъем CON3 2 20
Разъем 4HEADER 1 10
Разъем DB9 1 10
Реле DIP0,5-1A72 6 60

При нарушении связей с заводами-поставщиками могут прерваться не только поставки элементов, но и материалов, в этом случае отдельные материалы могут быть заменены другими. Варианты замены приведены в табл. 4.5.3


Таблица 4.5.3

Варианты замены материалов

Наименование материалов Варианты замены
Стеклотекстолит ФТС-2-20А Стеклотекстолит ФТС-2-35А
Припой ПОС-61 ГОСТ 21930-76 Припой ПОС-40
Флюс ФПЭТ РМ 11.029.001-74 Флюс ФК-5
Краска маркировочная ТУ 10-1043-79 Краска ЧМ ТУ 29-02-859-78
Спирто-бензиновая смесь Бензин А-76

На случай выхода из строя уязвимого сложного оборудования необходимо иметь дополнительные производственные мощности во избежание простоя, т. к. изменение типового технологического процесса вследствие применения более простого оборудования в данном случае, может повлечь за собой ухудшение качества производимого изделия.

Перечисленные мероприятия позволяют обеспечить устойчивость работы предприятия, т.е. дают возможность продолжения планового производства в случае частичного или полного нарушения оперативных связей с заводом-поставщиком или, при возникновении других внештатных ситуаций, позволяют восстановить производство в минимальные сроки.


5 Заключение


В результате дипломного проектирования был разработан в соответствии с техническим заданием электронный блок измерителя электрических параметров каналов звуковой частоты. Произведен анализ методов построения цифровых генераторов сигналов звуковой частоты, разработана структурная и электрическая принципиальная схемы блока измерителя. Также был разработан укрупненный алгоритм функционирования блока измерителя, произведен расчет потребляемой мощности и надежности спроектированного устройства.

В конструкторско-технологической части проведен информационно-патентный поиск, были рассчитаны и выбраны конструкции печатного узла и блока. Были рассчитаны показатели технологичности для проектируемой системы измерений и разработан рабочий технологический процесс сборки блока.

В технико-экономическом разделе обоснован выбор данного схемного решения и проведено сравнение двух спроектированных вариантов системы измерений, результаты которого сведены в сводную таблицу технико-экономических показателей. Рассчитан технико-экономический эффект от внедрения данного устройства и годовые эксплуатационные расходы на облуживание спроектированного изделия.

В разделе охраны труда и окружающей среды были установлены требуемые организационно-технические мероприятия по защите персонала и окружающей среды от вредных воздействий производственного процесса. Рассчитано защитное устройство-заземление. Приведены меры по пожаробезопасности и по производству блока при чрезвычайной ситуации.

Все расчеты были проведены строго в соответствии с техническим заданием.

При дипломном проектировании для создания пояснительной записки был использован мощный, многофункциональный и популярный текстовый процессор фирмы Microsoft – Word 2002. Для создания пояснительных рисунков, вставленных в текст пояснительной записки, использовался стандартный графический редактор операционной системы Windows 2000 – Paint 5.0. Для создания схем и чертежей была использована система Компас 5.11, позволяющая быстро и легко создавать документацию в соответствии с российскими стандартами. Разводка печатной платы была произведена в системе сквозного проектирования OrCad 9.2.


Таблица соответствия Таблица 5.1

Наименование параметра Значение
Заданное Полученное

Диапазон генерируемых частот, Гц

Шаг изменения частоты, Гц

Выходное напряжение, В

Диапазон измеряемых частот, Гц

Погрешность измерения частоты, Гц

Погрешность измерения уровня, дБ

Диапазон измерения коэффициента гармоник, %

Диапазон рабочих температур при относительной влажности до 90%, °С

Габариты, мм


Среднее время наработки на отказ, ч

Время восстановления при отказах, мин

Масса, кг

Комплексный показатель технологичности

20 – 20000

1

2

20 – 20000

1

не более 0,1

0,01 - 10


0 – 40

не более 300х300х100

5000

15

не более 5

Не менее 0,5

20 – 20000

1

2

20 – 20000

1

0,1

0,01 - 10


0 – 40


291х259х62

8351

10

3

0,7


Список литературы


1 Цифровые процессоры обработки сигналов: Справочник/ под ред. Остапенко А. Г. – М.:Р и С, 2004-260 с.

2 Steven Green “Design Notes for a 2-Pole Filter” Crystal Semiconductor Corporation 2005

3 Солонина А. И., Улахович Д. А., Яковлев Л. А. Алгоритмы и процессоры цифровой обработки сигналов. – СПб.: БХВ-Петербург, 2005. – 464с.

4 Л. Рабинер, Б. Гоулд Теория и применение цифровой обработки сигналов - М.: МИР, 1998 г

5 Куприянов М. С. Матющкин Б. Д. Цифровая обработка сигналов. – Политехника, 1998

6 Зарубежные интегральные микросхемы широкого применения. Справочник. Кутыркин Ю.М. Нефедов А.В. Савченко А.М. 1998 г.

7 Тули М. Справочное пособие по цифровой электронике.- Энергоатомиздат, 2000.

8 Малаян К. Р. Безопасность при работе с компьютером. – Санкт-Петербург СПбГПУ, 2002.

9 Александров К. К. Кузьмина Е. Г. Электротехнические чертежи и схемы. – Энергоатомиздат, 1999.

10 Безопасность жизнедеятельности: Справочное пособие по дипломному проектированию./ Под ред. Иванова Н. И. и Фадина И. М. –СПб.: БГТУ, 1996.- 122с.

11 Безопасность жизнедеятельности: Комплексные средства защиты. Справочное пособие по дипломному проектированию./ Под ред. Иванова Н. И. и Фадина И. М. – СПб.: БГТУ, 2004.-190с.

12 Выполнение конструкторско-технологической части дипломных проектов по специальности «Радиоэлектронные устройства систем управления»/ Под ред. Спицнаделя В. Н.- Л.: ЛМИ., 1999.-44с.

13 Гжиров Р. И., Краткий справочник конструктора.- Л.: Машиностроение, 1999.-464с.

14 Егоров В.А., Лебедев К.М., Мурашев Ю.Г., Шеханов Ю.Ф. Конструкторско-технологическое проектирование печатных узлов: Учебное пособие / Под ред. Ю.Г. Мурашева, БГТУ, СПб., 1995. - 92 с.

15 Романычева Э.Т., Иванов А.К., Куликов А.С., Миронов Н.Г., Антипов А.В. Разработка и оформление конструкторской документации РЭА: Справочник/ Под ред. Э.Т. Романычевой, М. Радио и Связь. 1999г. – 588 с.: ил.

16 Ильин А. Ю., Попов В. А., Смирнов В. В., Щерба В. Н. Основы инженерных исследований радиосистем. –Л.: ЛМИ., 1998. -148с.

17 Корчагина Р. Л. Экономическое обоснование технологических решений: Учебное пособие по дипломному проектированию. – Л.: ЛМИ, 1994.-119с.

18 Конструкторско-технологическое проектирование печатных узлов/ Под ред. Мурашева Ю. Г. – СПб: БГТУ, 2005. -192с.

19 Спицнадель В. Н. Конструирование и технология радиоэлектронной аппаратуры. –Л.: ЛМИ., 1999.-94с.

20 www.simi.nexcom

21 www.ti

22 www.efo

23 www.microchip


Приложение 1


Таблица ослабления или усиления сигнала (определяется схемным включением) от цифрового кода ЦАП AD7112


Таблица 1.1